-
Notifications
You must be signed in to change notification settings - Fork 0
/
Copy pathTop.v
79 lines (68 loc) · 1016 Bytes
/
Top.v
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
`timescale 1ns / 1ps
module Top(
input wire emergency,
input wire [1:0] signal,
input wire clk,
output wire [2:0] santa,
output wire [2:0] intersection,
output wire [2:0] pedestrian,
output wire an3,
output wire an2,
output wire an1,
output wire an0,
output wire ca,
output wire cb,
output wire cc,
output wire cd,
output wire ce,
output wire cf,
output wire cg,
output wire dp
);
wire [3:0] val0;
wire [3:0] val1;
wire [3:0] val2;
wire [3:0] val3;
wire [2:0] color;
wire [7:0] num;
Core myCore(
.emergency(emergency),
.signal(signal),
.clk(clk),
.color(color),
.num(num)
);
NumDecoder myNumDecoder(
.num(num),
.clk(clk),
.val0(val0),
.val1(val1),
.val2(val2),
.val3(val3)
);
Color myColor(
.color(color),
.santa(santa),
.intersection(intersection),
.pedestrian(pedestrian)
);
LED myLED(
.clk(clk),
.val3(val3),
.val2(val2),
.val1(val1),
.val0(val0),
.an3(an3),
.an2(an2),
.an1(an1),
.an0(an0),
.ca(ca),
.cb(cb),
.cc(cc),
.cd(cd),
.ce(ce),
.cf(cf),
.cg(cg),
.dp(dp)
);
endmodule