-
Notifications
You must be signed in to change notification settings - Fork 0
/
Copy pathconstraints.xdc
22 lines (22 loc) · 967 Bytes
/
constraints.xdc
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
# Constraints for CLK
set_property PACKAGE_PIN H16 [get_ports clk]
set_property IOSTANDARD LVCMOS33 [get_ports clk]
create_clock -name external_clock -period 10.00 [get_ports clk]
# Constraints for SW0
set_property PACKAGE_PIN R17 [get_ports {note[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {note[0]}]
# Constraints for SW1
set_property PACKAGE_PIN U20 [get_ports {note[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {note[1]}]
# Constraints for SW2
set_property PACKAGE_PIN R16 [get_ports {note[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {note[2]}]
# Constraints for SW3
set_property PACKAGE_PIN N16 [get_ports {note[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {note[3]}]
# Constraints for BTN3
set_property PACKAGE_PIN M14 [get_ports {hush}]
set_property IOSTANDARD LVCMOS33 [get_ports {hush}]
# Constraints for SPEAKER
set_property PACKAGE_PIN G18 [get_ports {speaker}]
set_property IOSTANDARD LVCMOS33 [get_ports {speaker}]