diff --git a/Labs/13. Peripheral units/README.md b/Labs/13. Peripheral units/README.md index 1c6c47ae..34d8c482 100644 --- a/Labs/13. Peripheral units/README.md +++ b/Labs/13. Peripheral units/README.md @@ -827,7 +827,7 @@ module vga_sb_ctrl ( - `char_tiff_rdata_o` подается на выход `read_data_o`. > [!Important] -> Обратите внимание на то что, контроллер vga является единственным контроллером, для которого не нужно реализовывать регистр перед выходом read_data_o для реализации синхронного чтения. Данная особенность обусловлена тем, что внутри модуля `vgachargen` уже находится блочная память с синхронным портом на чтение. Добавление еще одного регистра приведет к тому, данные будут "опаздывать" на один такт. Таким образом, данные на выход `read_data_o` необходимо подавать с помощью чисто комбинационной логики. +> Обратите внимание на то, что контроллер vga является единственным контроллером, для которого не нужно реализовывать регистр перед выходом read_data_o для реализации синхронного чтения. Данная особенность обусловлена тем, что внутри модуля `vgachargen` уже находится блочная память с синхронным портом на чтение. Добавление еще одного регистра приведет к тому, данные будут "опаздывать" на один такт. Таким образом, данные на выход `read_data_o` необходимо подавать с помощью чисто комбинационной логики. ## Список использованной литературы diff --git a/Labs/15. Programming device/README.md b/Labs/15. Programming device/README.md index 412789c1..b3a34571 100644 --- a/Labs/15. Programming device/README.md +++ b/Labs/15. Programming device/README.md @@ -520,7 +520,7 @@ _Листинг 5. Готовая часть программатора._ ![../../.pic/Labs/lab_15_programming_device/fig_04.drawio.svg](../../.pic/Labs/lab_15_programming_device/fig_04.drawio.svg) -_Рисунок 3. Интеграция программатора в `riscv_unit`._ +_Рисунок 4. Интеграция программатора в `riscv_unit`._ В первую очередь, необходимо заменить память инструкций и добавить новый модуль. После чего подключить программатор к памяти инструкций и мультиплексировать выход интерфейса памяти данных программатора с интерфейсом памяти данных LSU. Сигнал сброса процессора необходимо заменить на выход `core_reset_o`. diff --git a/Other/CSR.md b/Other/CSR.md index 4bf9935d..055cc78a 100644 --- a/Other/CSR.md +++ b/Other/CSR.md @@ -6,7 +6,7 @@ В этой таблице можно увидеть регистры для сохранения адреса возврата из перехвата, адрес вектора прерывания, регистры причины (cause), регистры настройки безопасности и защиты памяти. И это далеко не полный список регистров, предоставляемых стандартом (который помимо прочего, оставляет место в адресном пространстве для ваших собственных регистров). -![../../.pic/Labs/lab_10_irq/tab_01.png](../../.pic/Labs/lab_10_irq/tab_01.png) +![../../.pic/Labs/lab_10_irq/tab_01.png](../.pic/Labs/lab_10_irq/tab_01.png) _Таблица 1. Регистры контроля и статуса машинного (наивысшего) уровня привилегий._ diff --git a/Vivado Basics/Vivado trainer.md b/Vivado Basics/Vivado trainer.md index d54d43ce..3d359643 100644 --- a/Vivado Basics/Vivado trainer.md +++ b/Vivado Basics/Vivado trainer.md @@ -2,7 +2,7 @@ ## Создание проекта в Системе Автоматизированного Проектирования (САПР) -1. Запустить Vivado 2019.2 +1. Запустить Vivado 2. Нажать `Create Project` 3. В открывшемся окне нажать Next 4. Ввести название проекта (никаких пробелов и кириллических символов) → Выбрать папку для проектов (создать каталок на D:/) → Поставить галку `Create project subdirectory` → Нажать `Next`