diff --git a/projects/tt_um_levenshtein/LICENSE b/projects/tt_um_levenshtein/LICENSE new file mode 100644 index 0000000..261eeb9 --- /dev/null +++ b/projects/tt_um_levenshtein/LICENSE @@ -0,0 +1,201 @@ + Apache License + Version 2.0, January 2004 + http://www.apache.org/licenses/ + + TERMS AND CONDITIONS FOR USE, REPRODUCTION, AND DISTRIBUTION + + 1. Definitions. + + "License" shall mean the terms and conditions for use, reproduction, + and distribution as defined by Sections 1 through 9 of this document. + + "Licensor" shall mean the copyright owner or entity authorized by + the copyright owner that is granting the License. + + "Legal Entity" shall mean the union of the acting entity and all + other entities that control, are controlled by, or are under common + control with that entity. For the purposes of this definition, + "control" means (i) the power, direct or indirect, to cause the + direction or management of such entity, whether by contract or + otherwise, or (ii) ownership of fifty percent (50%) or more of the + outstanding shares, or (iii) beneficial ownership of such entity. + + "You" (or "Your") shall mean an individual or Legal Entity + exercising permissions granted by this License. + + "Source" form shall mean the preferred form for making modifications, + including but not limited to software source code, documentation + source, and configuration files. + + "Object" form shall mean any form resulting from mechanical + transformation or translation of a Source form, including but + not limited to compiled object code, generated documentation, + and conversions to other media types. + + "Work" shall mean the work of authorship, whether in Source or + Object form, made available under the License, as indicated by a + copyright notice that is included in or attached to the work + (an example is provided in the Appendix below). + + "Derivative Works" shall mean any work, whether in Source or Object + form, that is based on (or derived from) the Work and for which the + editorial revisions, annotations, elaborations, or other modifications + represent, as a whole, an original work of authorship. For the purposes + of this License, Derivative Works shall not include works that remain + separable from, or merely link (or bind by name) to the interfaces of, + the Work and Derivative Works thereof. + + "Contribution" shall mean any work of authorship, including + the original version of the Work and any modifications or additions + to that Work or Derivative Works thereof, that is intentionally + submitted to Licensor for inclusion in the Work by the copyright owner + or by an individual or Legal Entity authorized to submit on behalf of + the copyright owner. For the purposes of this definition, "submitted" + means any form of electronic, verbal, or written communication sent + to the Licensor or its representatives, including but not limited to + communication on electronic mailing lists, source code control systems, + and issue tracking systems that are managed by, or on behalf of, the + Licensor for the purpose of discussing and improving the Work, but + excluding communication that is conspicuously marked or otherwise + designated in writing by the copyright owner as "Not a Contribution." + + "Contributor" shall mean Licensor and any individual or Legal Entity + on behalf of whom a Contribution has been received by Licensor and + subsequently incorporated within the Work. + + 2. Grant of Copyright License. Subject to the terms and conditions of + this License, each Contributor hereby grants to You a perpetual, + worldwide, non-exclusive, no-charge, royalty-free, irrevocable + copyright license to reproduce, prepare Derivative Works of, + publicly display, publicly perform, sublicense, and distribute the + Work and such Derivative Works in Source or Object form. + + 3. Grant of Patent License. Subject to the terms and conditions of + this License, each Contributor hereby grants to You a perpetual, + worldwide, non-exclusive, no-charge, royalty-free, irrevocable + (except as stated in this section) patent license to make, have made, + use, offer to sell, sell, import, and otherwise transfer the Work, + where such license applies only to those patent claims licensable + by such Contributor that are necessarily infringed by their + Contribution(s) alone or by combination of their Contribution(s) + with the Work to which such Contribution(s) was submitted. If You + institute patent litigation against any entity (including a + cross-claim or counterclaim in a lawsuit) alleging that the Work + or a Contribution incorporated within the Work constitutes direct + or contributory patent infringement, then any patent licenses + granted to You under this License for that Work shall terminate + as of the date such litigation is filed. + + 4. Redistribution. You may reproduce and distribute copies of the + Work or Derivative Works thereof in any medium, with or without + modifications, and in Source or Object form, provided that You + meet the following conditions: + + (a) You must give any other recipients of the Work or + Derivative Works a copy of this License; and + + (b) You must cause any modified files to carry prominent notices + stating that You changed the files; and + + (c) You must retain, in the Source form of any Derivative Works + that You distribute, all copyright, patent, trademark, and + attribution notices from the Source form of the Work, + excluding those notices that do not pertain to any part of + the Derivative Works; and + + (d) If the Work includes a "NOTICE" text file as part of its + distribution, then any Derivative Works that You distribute must + include a readable copy of the attribution notices contained + within such NOTICE file, excluding those notices that do not + pertain to any part of the Derivative Works, in at least one + of the following places: within a NOTICE text file distributed + as part of the Derivative Works; within the Source form or + documentation, if provided along with the Derivative Works; or, + within a display generated by the Derivative Works, if and + wherever such third-party notices normally appear. The contents + of the NOTICE file are for informational purposes only and + do not modify the License. You may add Your own attribution + notices within Derivative Works that You distribute, alongside + or as an addendum to the NOTICE text from the Work, provided + that such additional attribution notices cannot be construed + as modifying the License. + + You may add Your own copyright statement to Your modifications and + may provide additional or different license terms and conditions + for use, reproduction, or distribution of Your modifications, or + for any such Derivative Works as a whole, provided Your use, + reproduction, and distribution of the Work otherwise complies with + the conditions stated in this License. + + 5. Submission of Contributions. Unless You explicitly state otherwise, + any Contribution intentionally submitted for inclusion in the Work + by You to the Licensor shall be under the terms and conditions of + this License, without any additional terms or conditions. + Notwithstanding the above, nothing herein shall supersede or modify + the terms of any separate license agreement you may have executed + with Licensor regarding such Contributions. + + 6. Trademarks. This License does not grant permission to use the trade + names, trademarks, service marks, or product names of the Licensor, + except as required for reasonable and customary use in describing the + origin of the Work and reproducing the content of the NOTICE file. + + 7. Disclaimer of Warranty. Unless required by applicable law or + agreed to in writing, Licensor provides the Work (and each + Contributor provides its Contributions) on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied, including, without limitation, any warranties or conditions + of TITLE, NON-INFRINGEMENT, MERCHANTABILITY, or FITNESS FOR A + PARTICULAR PURPOSE. You are solely responsible for determining the + appropriateness of using or redistributing the Work and assume any + risks associated with Your exercise of permissions under this License. + + 8. Limitation of Liability. In no event and under no legal theory, + whether in tort (including negligence), contract, or otherwise, + unless required by applicable law (such as deliberate and grossly + negligent acts) or agreed to in writing, shall any Contributor be + liable to You for damages, including any direct, indirect, special, + incidental, or consequential damages of any character arising as a + result of this License or out of the use or inability to use the + Work (including but not limited to damages for loss of goodwill, + work stoppage, computer failure or malfunction, or any and all + other commercial damages or losses), even if such Contributor + has been advised of the possibility of such damages. + + 9. Accepting Warranty or Additional Liability. While redistributing + the Work or Derivative Works thereof, You may choose to offer, + and charge a fee for, acceptance of support, warranty, indemnity, + or other liability obligations and/or rights consistent with this + License. However, in accepting such obligations, You may act only + on Your own behalf and on Your sole responsibility, not on behalf + of any other Contributor, and only if You agree to indemnify, + defend, and hold each Contributor harmless for any liability + incurred by, or claims asserted against, such Contributor by reason + of your accepting any such warranty or additional liability. + + END OF TERMS AND CONDITIONS + + APPENDIX: How to apply the Apache License to your work. + + To apply the Apache License to your work, attach the following + boilerplate notice, with the fields enclosed by brackets "[]" + replaced with your own identifying information. (Don't include + the brackets!) The text should be enclosed in the appropriate + comment syntax for the file format. We also recommend that a + file or class name and description of purpose be included on the + same "printed page" as the copyright notice for easier + identification within third-party archives. + + Copyright [yyyy] [name of copyright owner] + + Licensed under the Apache License, Version 2.0 (the "License"); + you may not use this file except in compliance with the License. + You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + See the License for the specific language governing permissions and + limitations under the License. diff --git a/projects/tt_um_levenshtein/commit_id.json b/projects/tt_um_levenshtein/commit_id.json new file mode 100644 index 0000000..2b9f2bd --- /dev/null +++ b/projects/tt_um_levenshtein/commit_id.json @@ -0,0 +1,9 @@ +{ + "app": "Tiny Tapeout tt09 90075702", + "repo": "https://github.com/peter-noerlund/tt09-levenshtein", + "commit": "f3151539beb6438e41c9b7fb47263c3d555c137a", + "workflow_url": "https://github.com/peter-noerlund/tt09-levenshtein/actions/runs/10984285815", + "sort_id": 1727039658434, + "openlane_version": "OpenLane2 2.1.5", + "pdk_version": "open_pdks bdc9412b3e468c102d01b7cf6337be06ec6e9c9a" +} \ No newline at end of file diff --git a/projects/tt_um_levenshtein/docs/info.md b/projects/tt_um_levenshtein/docs/info.md new file mode 100644 index 0000000..a52ac59 --- /dev/null +++ b/projects/tt_um_levenshtein/docs/info.md @@ -0,0 +1,125 @@ + + +## How it works + +tt09-levenshtein is a fuzzy search engine which can find the best matching word in a dictionary based on levenshtein distance. + +Fundamentally its an implementation of the bit-vector levenshtein algorithm from Heikki Hyyrö's 2022 paper with the title *A Bit-Vector Algorithm for Computing Levenshtein and Damerau Edit Distances*. + +#### UART + +The device is organized as a wishbone bus which is accessed through commands on the UART. + +Each command consists of 4 input bytes and 1 output byte: + +**Input bytes:** + +| Byte | Bit | Description | +|------|-----|-------------------------------------------| +| 0 | 7 | READ=`0` WRUTE=`1` | +| 0 | 6-0 | Address bit 22-16 | +| 1 | 7-0 | Address bit 15-8 | +| 2 | 7-0 | Address bit 7-0 | +| 3 | 7-0 | Byte to write if WRITE, otherwise ignored | + +**Output byts:** + +| Byte | Bit | Description | +|------|-----|------------------------------------------| +| 0 | 7-0 | Byte read if READ, otherwise just `0x00` | + + +#### Memory Layout + +As indicated by the UART protocol, the address space is 23 bits. + +The lower half of the memory space is used for registers and the upper half of the memory space is accessing an external SPI PSRAM. + +The address space is basically as follows + +| Address | Usable Size | Description | +|-------------------|-------------|----------------| +| 0x000000-0x3FFFFF | 6B | Registers | +| 0x400000-0x5FFFFF | 512B | Bitvectors | +| 0x600000-0x7FFFFF | 2MB | Dictionary | + +The registers have a different layout for read and write. + +**Write:** +| Address | Size | Description | +|----------|------|------------------| +| 0x000000 | 1 | Control register | +| 0x000001 | 1 | Word length | +| 0x000002 | 2 | Mask | +| 0x000004 | 2 | Initial VP value | + +**Read:** +| Address | Size | Description | +|----------|------|-----------------| +| 0x000000 | 1 | Status register | +| 0x000001 | 1 | Distance | +| 0x000002 | 2 | Word index | + +#### Operation + +##### Initialization + +Before doing anything, the bitvector memory needs to be filled with `0x00`. That is the 512 bytes from `0x400000` to `0x4001FF`. This is only necessary to do once after power up. + +##### Store dictionary + +Next, you need to store a dictionary in the SRAM. The dictionary needs to be stored at address `0x600000`. Each word must be encoded using 1 bit character, cannot use `0xFE` and `0xFF` and must not exceed 255 characters. Each word is terminated with the byte value `0xFE` and the dictionary itself is terminated by the byte value `0xFF`. In total there can be no more than 65535 words and the whole list must not exceed 2MB. + +##### Perform fuzzy matching + +To perform a fuzzy search, you first need to generate a map of 16-bit vectors based on the input word. + +For each character in the word, you produce a bit vector representing which position in the word holds the character. + +Example: + +```verilog +word = application + +a = 16'b00000000_01000001; // a_____a____ +p = 16'b00000000_00000110; // _pp________ +l = 16'b00000000_00001000; // ___l_______ +i = 16'b00000001_00010000; // ____i___i__ +c = 16'b00000000_00100000; // _____c_____ +t = 16'b00000000_10000000; // _______t___ +o = 16'b00000010_00000000; // _________o_ +n = 16'b00000100_00000000; // __________n +``` + +You then store each bitvector at address `0x400000 + char * 2`. The bitvectors is stored in bit endian byte order. + +You then need to store the length in the word length register (address `0x000001`) + +And a mask with the length-th bit set to 1 (`1 << (length - 1)`) in the 16-bit mask register (address `0x000002`) using bit endian byte order. + +And a VP value which is simly the first length bits set to 1 (`(1 << length) - 1`) in the 16-bit vp mast register (address `0x000004`) using big endian byte order. + +Finally, you store a `1` in the control register at address `0x000000`. + +The accelerator will now scan through the dictionary to find matches. + +To know when the algorithm is done, you poll the status register (address `0x000000`) at a regular interval until the 0th bit is 0. + +You can then read out the levenshtein distance at address `0x000001` and the index of the word in the dictionary which was the best match at `0x000002` (big endian). + +Finally, you need to clear the bitvectors before the next search. Instead of filling the entire 512 bytes with `0x00`, you simply clear the bitvector positions you set earlier (in the example that would be `a`, `p`, `l`, `i`, `c`, `t`, `o`, and `n`) + +## How to test + +TODO + +## External hardware + +List external hardware used in your project (e.g. PMOD, LED display, etc), if any diff --git a/projects/tt_um_levenshtein/info.yaml b/projects/tt_um_levenshtein/info.yaml new file mode 100644 index 0000000..dee3833 --- /dev/null +++ b/projects/tt_um_levenshtein/info.yaml @@ -0,0 +1,60 @@ +# Tiny Tapeout project information +project: + title: "Fuzzy Search Engine" # Project title + author: "Peter Nørlund" # Your name + discord: "pchri03." # Your discord username, for communication and automatically assigning you a Tapeout role (optional) + description: "A levenshtein based fuzzy search engine" # One line description of what your project does + language: "Verilog" # other examples include SystemVerilog, Amaranth, VHDL, etc + clock_hz: 50000000 # Clock frequency in Hz (or 0 if not applicable) + + # How many tiles your design occupies? A single tile is about 167x108 uM. + tiles: "1x1" # Valid values: 1x1, 1x2, 2x2, 3x2, 4x2, 6x2 or 8x2 + + # Your top module name must start with "tt_um_". Make it unique by including your github username: + top_module: "tt_um_levenshtein" + + # List your project's source files here. + # Source files must be in ./src and you must list each source file separately, one per line. + # Don't forget to also update `PROJECT_SOURCES` in test/Makefile. + source_files: + - tt_um_levenshtein.v + - levenshtein_controller.sv + - spi_controller.sv + - uart_wishbone_bridge.sv + - wb_arbiter.sv + - wb_interconnect.sv + +# The pinout of your project. Leave unused pins blank. DO NOT delete or add any pins. +pinout: + # Inputs + ui[0]: "" + ui[1]: "" + ui[2]: "" + ui[3]: "UART RxD" + ui[4]: "" + ui[5]: "" + ui[6]: "" + ui[7]: "" + + # Outputs + uo[0]: "" + uo[1]: "" + uo[2]: "" + uo[3]: "" + uo[4]: "UART TxD" + uo[5]: "" + uo[6]: "" + uo[7]: "" + + # Bidirectional pins + uio[0]: "PMOD SPI SS#" + uio[1]: "PMOD SPI MOSI" + uio[2]: "PMOD SPI MISO" + uio[3]: "PMOD SPI SCK" + uio[4]: "" + uio[5]: "" + uio[6]: "" + uio[7]: "" + +# Do not change! +yaml_version: 6 diff --git a/projects/tt_um_levenshtein/stats/metrics.csv b/projects/tt_um_levenshtein/stats/metrics.csv new file mode 100644 index 0000000..8f7051b --- /dev/null +++ b/projects/tt_um_levenshtein/stats/metrics.csv @@ -0,0 +1,277 @@ +Metric,Value +design__lint_error__count,0 +design__lint_timing_construct__count,0 +design__lint_warning__count,0 +design__inferred_latch__count,0 +design__instance__count,1715 +design__instance__area,14745.4 +design__instance_unmapped__count,0 +synthesis__check_error__count,0 +design__max_slew_violation__count__corner:nom_tt_025C_1v80,0 +design__max_fanout_violation__count__corner:nom_tt_025C_1v80,13 +design__max_cap_violation__count__corner:nom_tt_025C_1v80,0 +power__internal__total,0.0006909549701958895 +power__switching__total,0.00022096690372563899 +power__leakage__total,1.4953885241197895E-8 +power__total,0.0009119368041865528 +clock__skew__worst_hold__corner:nom_tt_025C_1v80,0.02552386152454276 +clock__skew__worst_setup__corner:nom_tt_025C_1v80,0.02552386152454276 +timing__hold__ws__corner:nom_tt_025C_1v80,0.3007313927448478 +timing__setup__ws__corner:nom_tt_025C_1v80,13.21451874217501 +timing__hold__tns__corner:nom_tt_025C_1v80,0.0 +timing__setup__tns__corner:nom_tt_025C_1v80,0.0 +timing__hold__wns__corner:nom_tt_025C_1v80,0 +timing__setup__wns__corner:nom_tt_025C_1v80,0.0 +timing__hold_vio__count__corner:nom_tt_025C_1v80,0 +timing__hold_r2r__ws__corner:nom_tt_025C_1v80,0.300731 +timing__hold_r2r_vio__count__corner:nom_tt_025C_1v80,0 +timing__setup_vio__count__corner:nom_tt_025C_1v80,0 +timing__setup_r2r__ws__corner:nom_tt_025C_1v80,13.214519 +timing__setup_r2r_vio__count__corner:nom_tt_025C_1v80,0 +design__max_slew_violation__count__corner:nom_ss_100C_1v60,0 +design__max_fanout_violation__count__corner:nom_ss_100C_1v60,13 +design__max_cap_violation__count__corner:nom_ss_100C_1v60,0 +clock__skew__worst_hold__corner:nom_ss_100C_1v60,0.03609912471124486 +clock__skew__worst_setup__corner:nom_ss_100C_1v60,0.03609912471124486 +timing__hold__ws__corner:nom_ss_100C_1v60,0.8246362714979429 +timing__setup__ws__corner:nom_ss_100C_1v60,7.167563634377347 +timing__hold__tns__corner:nom_ss_100C_1v60,0.0 +timing__setup__tns__corner:nom_ss_100C_1v60,0.0 +timing__hold__wns__corner:nom_ss_100C_1v60,0 +timing__setup__wns__corner:nom_ss_100C_1v60,0.0 +timing__hold_vio__count__corner:nom_ss_100C_1v60,0 +timing__hold_r2r__ws__corner:nom_ss_100C_1v60,0.824636 +timing__hold_r2r_vio__count__corner:nom_ss_100C_1v60,0 +timing__setup_vio__count__corner:nom_ss_100C_1v60,0 +timing__setup_r2r__ws__corner:nom_ss_100C_1v60,7.167563 +timing__setup_r2r_vio__count__corner:nom_ss_100C_1v60,0 +design__max_slew_violation__count__corner:nom_ff_n40C_1v95,0 +design__max_fanout_violation__count__corner:nom_ff_n40C_1v95,13 +design__max_cap_violation__count__corner:nom_ff_n40C_1v95,0 +clock__skew__worst_hold__corner:nom_ff_n40C_1v95,0.01915978541164684 +clock__skew__worst_setup__corner:nom_ff_n40C_1v95,0.01915978541164684 +timing__hold__ws__corner:nom_ff_n40C_1v95,0.1147298954980344 +timing__setup__ws__corner:nom_ff_n40C_1v95,14.579108111189727 +timing__hold__tns__corner:nom_ff_n40C_1v95,0.0 +timing__setup__tns__corner:nom_ff_n40C_1v95,0.0 +timing__hold__wns__corner:nom_ff_n40C_1v95,0 +timing__setup__wns__corner:nom_ff_n40C_1v95,0.0 +timing__hold_vio__count__corner:nom_ff_n40C_1v95,0 +timing__hold_r2r__ws__corner:nom_ff_n40C_1v95,0.114730 +timing__hold_r2r_vio__count__corner:nom_ff_n40C_1v95,0 +timing__setup_vio__count__corner:nom_ff_n40C_1v95,0 +timing__setup_r2r__ws__corner:nom_ff_n40C_1v95,Infinity +timing__setup_r2r_vio__count__corner:nom_ff_n40C_1v95,0 +design__max_slew_violation__count,0 +design__max_fanout_violation__count,13 +design__max_cap_violation__count,0 +clock__skew__worst_hold,0.04098466126928027 +clock__skew__worst_setup,0.017782886777565367 +timing__hold__ws,0.11148782212983192 +timing__setup__ws,6.84430931302839 +timing__hold__tns,0.0 +timing__setup__tns,0.0 +timing__hold__wns,0 +timing__setup__wns,0.0 +timing__hold_vio__count,0 +timing__hold_r2r__ws,0.111488 +timing__hold_r2r_vio__count,0 +timing__setup_vio__count,0 +timing__setup_r2r__ws,6.844309 +timing__setup_r2r_vio__count,0 +design__die__bbox,0.0 0.0 161.0 111.52 +design__core__bbox,2.76 2.72 158.24 108.8 +flow__warnings__count,1 +flow__errors__count,0 +design__io,45 +design__die__area,17954.7 +design__core__area,16493.3 +design__instance__count__stdcell,1715 +design__instance__area__stdcell,14745.4 +design__instance__count__macros,0 +design__instance__area__macros,0 +design__instance__utilization,0.894022 +design__instance__utilization__stdcell,0.894022 +design__power_grid_violation__count__net:VGND,0 +design__power_grid_violation__count__net:VPWR,0 +design__power_grid_violation__count,0 +timing__drv__floating__nets,0 +timing__drv__floating__pins,0 +design__instance__displacement__total,0 +design__instance__displacement__mean,0 +design__instance__displacement__max,0 +route__wirelength__estimated,35219.8 +design__violations,0 +design__instance__count__setup_buffer,0 +design__instance__count__hold_buffer,119 +antenna__violating__nets,1 +antenna__violating__pins,1 +route__antenna_violation__count,1 +route__net,1497 +route__net__special,2 +route__drc_errors__iter:1,1598 +route__wirelength__iter:1,42251 +route__drc_errors__iter:2,816 +route__wirelength__iter:2,41612 +route__drc_errors__iter:3,733 +route__wirelength__iter:3,41431 +route__drc_errors__iter:4,285 +route__wirelength__iter:4,41226 +route__drc_errors__iter:5,135 +route__wirelength__iter:5,41198 +route__drc_errors__iter:6,81 +route__wirelength__iter:6,41199 +route__drc_errors__iter:7,37 +route__wirelength__iter:7,41249 +route__drc_errors__iter:8,11 +route__wirelength__iter:8,41253 +route__drc_errors__iter:9,0 +route__wirelength__iter:9,41258 +route__drc_errors,0 +route__wirelength,41258 +route__vias,11990 +route__vias__singlecut,11990 +route__vias__multicut,0 +design__disconnected_pin__count,15 +design__critical_disconnected_pin__count,0 +route__wirelength__max,265.29 +timing__unannotated_net__count__corner:nom_tt_025C_1v80,47 +timing__unannotated_net_filtered__count__corner:nom_tt_025C_1v80,0 +timing__unannotated_net__count__corner:nom_ss_100C_1v60,47 +timing__unannotated_net_filtered__count__corner:nom_ss_100C_1v60,0 +timing__unannotated_net__count__corner:nom_ff_n40C_1v95,47 +timing__unannotated_net_filtered__count__corner:nom_ff_n40C_1v95,0 +design__max_slew_violation__count__corner:min_tt_025C_1v80,0 +design__max_fanout_violation__count__corner:min_tt_025C_1v80,13 +design__max_cap_violation__count__corner:min_tt_025C_1v80,0 +clock__skew__worst_hold__corner:min_tt_025C_1v80,0.023820279756226102 +clock__skew__worst_setup__corner:min_tt_025C_1v80,0.023820279756226102 +timing__hold__ws__corner:min_tt_025C_1v80,0.29534586723353173 +timing__setup__ws__corner:min_tt_025C_1v80,13.390270604657452 +timing__hold__tns__corner:min_tt_025C_1v80,0.0 +timing__setup__tns__corner:min_tt_025C_1v80,0.0 +timing__hold__wns__corner:min_tt_025C_1v80,0 +timing__setup__wns__corner:min_tt_025C_1v80,0.0 +timing__hold_vio__count__corner:min_tt_025C_1v80,0 +timing__hold_r2r__ws__corner:min_tt_025C_1v80,0.295346 +timing__hold_r2r_vio__count__corner:min_tt_025C_1v80,0 +timing__setup_vio__count__corner:min_tt_025C_1v80,0 +timing__setup_r2r__ws__corner:min_tt_025C_1v80,13.390270 +timing__setup_r2r_vio__count__corner:min_tt_025C_1v80,0 +timing__unannotated_net__count__corner:min_tt_025C_1v80,47 +timing__unannotated_net_filtered__count__corner:min_tt_025C_1v80,0 +design__max_slew_violation__count__corner:min_ss_100C_1v60,0 +design__max_fanout_violation__count__corner:min_ss_100C_1v60,13 +design__max_cap_violation__count__corner:min_ss_100C_1v60,0 +clock__skew__worst_hold__corner:min_ss_100C_1v60,0.03372013874679576 +clock__skew__worst_setup__corner:min_ss_100C_1v60,0.03372013874679576 +timing__hold__ws__corner:min_ss_100C_1v60,0.8142065030427328 +timing__setup__ws__corner:min_ss_100C_1v60,7.482728426450887 +timing__hold__tns__corner:min_ss_100C_1v60,0.0 +timing__setup__tns__corner:min_ss_100C_1v60,0.0 +timing__hold__wns__corner:min_ss_100C_1v60,0 +timing__setup__wns__corner:min_ss_100C_1v60,0.0 +timing__hold_vio__count__corner:min_ss_100C_1v60,0 +timing__hold_r2r__ws__corner:min_ss_100C_1v60,0.814206 +timing__hold_r2r_vio__count__corner:min_ss_100C_1v60,0 +timing__setup_vio__count__corner:min_ss_100C_1v60,0 +timing__setup_r2r__ws__corner:min_ss_100C_1v60,7.482728 +timing__setup_r2r_vio__count__corner:min_ss_100C_1v60,0 +timing__unannotated_net__count__corner:min_ss_100C_1v60,47 +timing__unannotated_net_filtered__count__corner:min_ss_100C_1v60,0 +design__max_slew_violation__count__corner:min_ff_n40C_1v95,0 +design__max_fanout_violation__count__corner:min_ff_n40C_1v95,13 +design__max_cap_violation__count__corner:min_ff_n40C_1v95,0 +clock__skew__worst_hold__corner:min_ff_n40C_1v95,0.017782886777565367 +clock__skew__worst_setup__corner:min_ff_n40C_1v95,0.017782886777565367 +timing__hold__ws__corner:min_ff_n40C_1v95,0.11148782212983192 +timing__setup__ws__corner:min_ff_n40C_1v95,14.604120992552735 +timing__hold__tns__corner:min_ff_n40C_1v95,0.0 +timing__setup__tns__corner:min_ff_n40C_1v95,0.0 +timing__hold__wns__corner:min_ff_n40C_1v95,0 +timing__setup__wns__corner:min_ff_n40C_1v95,0.0 +timing__hold_vio__count__corner:min_ff_n40C_1v95,0 +timing__hold_r2r__ws__corner:min_ff_n40C_1v95,0.111488 +timing__hold_r2r_vio__count__corner:min_ff_n40C_1v95,0 +timing__setup_vio__count__corner:min_ff_n40C_1v95,0 +timing__setup_r2r__ws__corner:min_ff_n40C_1v95,Infinity +timing__setup_r2r_vio__count__corner:min_ff_n40C_1v95,0 +timing__unannotated_net__count__corner:min_ff_n40C_1v95,47 +timing__unannotated_net_filtered__count__corner:min_ff_n40C_1v95,0 +design__max_slew_violation__count__corner:max_tt_025C_1v80,0 +design__max_fanout_violation__count__corner:max_tt_025C_1v80,13 +design__max_cap_violation__count__corner:max_tt_025C_1v80,0 +clock__skew__worst_hold__corner:max_tt_025C_1v80,0.028594183385978262 +clock__skew__worst_setup__corner:max_tt_025C_1v80,0.028594183385978262 +timing__hold__ws__corner:max_tt_025C_1v80,0.3056079364961294 +timing__setup__ws__corner:max_tt_025C_1v80,13.03678268904684 +timing__hold__tns__corner:max_tt_025C_1v80,0.0 +timing__setup__tns__corner:max_tt_025C_1v80,0.0 +timing__hold__wns__corner:max_tt_025C_1v80,0 +timing__setup__wns__corner:max_tt_025C_1v80,0.0 +timing__hold_vio__count__corner:max_tt_025C_1v80,0 +timing__hold_r2r__ws__corner:max_tt_025C_1v80,0.305608 +timing__hold_r2r_vio__count__corner:max_tt_025C_1v80,0 +timing__setup_vio__count__corner:max_tt_025C_1v80,0 +timing__setup_r2r__ws__corner:max_tt_025C_1v80,13.036782 +timing__setup_r2r_vio__count__corner:max_tt_025C_1v80,0 +timing__unannotated_net__count__corner:max_tt_025C_1v80,47 +timing__unannotated_net_filtered__count__corner:max_tt_025C_1v80,0 +design__max_slew_violation__count__corner:max_ss_100C_1v60,0 +design__max_fanout_violation__count__corner:max_ss_100C_1v60,13 +design__max_cap_violation__count__corner:max_ss_100C_1v60,0 +clock__skew__worst_hold__corner:max_ss_100C_1v60,0.04098466126928027 +clock__skew__worst_setup__corner:max_ss_100C_1v60,0.04098466126928027 +timing__hold__ws__corner:max_ss_100C_1v60,0.8346071847640981 +timing__setup__ws__corner:max_ss_100C_1v60,6.84430931302839 +timing__hold__tns__corner:max_ss_100C_1v60,0.0 +timing__setup__tns__corner:max_ss_100C_1v60,0.0 +timing__hold__wns__corner:max_ss_100C_1v60,0 +timing__setup__wns__corner:max_ss_100C_1v60,0.0 +timing__hold_vio__count__corner:max_ss_100C_1v60,0 +timing__hold_r2r__ws__corner:max_ss_100C_1v60,0.834607 +timing__hold_r2r_vio__count__corner:max_ss_100C_1v60,0 +timing__setup_vio__count__corner:max_ss_100C_1v60,0 +timing__setup_r2r__ws__corner:max_ss_100C_1v60,6.844309 +timing__setup_r2r_vio__count__corner:max_ss_100C_1v60,0 +timing__unannotated_net__count__corner:max_ss_100C_1v60,47 +timing__unannotated_net_filtered__count__corner:max_ss_100C_1v60,0 +design__max_slew_violation__count__corner:max_ff_n40C_1v95,0 +design__max_fanout_violation__count__corner:max_ff_n40C_1v95,13 +design__max_cap_violation__count__corner:max_ff_n40C_1v95,0 +clock__skew__worst_hold__corner:max_ff_n40C_1v95,0.021624730396208634 +clock__skew__worst_setup__corner:max_ff_n40C_1v95,0.021624730396208634 +timing__hold__ws__corner:max_ff_n40C_1v95,0.11765266871532426 +timing__setup__ws__corner:max_ff_n40C_1v95,14.55399930655468 +timing__hold__tns__corner:max_ff_n40C_1v95,0.0 +timing__setup__tns__corner:max_ff_n40C_1v95,0.0 +timing__hold__wns__corner:max_ff_n40C_1v95,0 +timing__setup__wns__corner:max_ff_n40C_1v95,0.0 +timing__hold_vio__count__corner:max_ff_n40C_1v95,0 +timing__hold_r2r__ws__corner:max_ff_n40C_1v95,0.117653 +timing__hold_r2r_vio__count__corner:max_ff_n40C_1v95,0 +timing__setup_vio__count__corner:max_ff_n40C_1v95,0 +timing__setup_r2r__ws__corner:max_ff_n40C_1v95,Infinity +timing__setup_r2r_vio__count__corner:max_ff_n40C_1v95,0 +timing__unannotated_net__count__corner:max_ff_n40C_1v95,47 +timing__unannotated_net_filtered__count__corner:max_ff_n40C_1v95,0 +timing__unannotated_net__count,47 +timing__unannotated_net_filtered__count,0 +design_powergrid__voltage__worst__net:VPWR__corner:nom_tt_025C_1v80,1.79994 +design_powergrid__drop__average__net:VPWR__corner:nom_tt_025C_1v80,1.79998 +design_powergrid__drop__worst__net:VPWR__corner:nom_tt_025C_1v80,0.0000621895 +design_powergrid__voltage__worst__net:VGND__corner:nom_tt_025C_1v80,0.0000810837 +design_powergrid__drop__average__net:VGND__corner:nom_tt_025C_1v80,0.0000157202 +design_powergrid__drop__worst__net:VGND__corner:nom_tt_025C_1v80,0.0000810837 +ir__voltage__worst,1.8000000000000000444089209850062616169452667236328125 +ir__drop__avg,0.0000154000000000000016324615270679743161963415332138538360595703125 +ir__drop__worst,0.000062199999999999994008959003366499018738977611064910888671875 +magic__drc_error__count,0 +magic__illegal_overlap__count,0 +design__lvs_device_difference__count,0 +design__lvs_net_difference__count,0 +design__lvs_property_fail__count,0 +design__lvs_error__count,0 +design__lvs_unmatched_device__count,0 +design__lvs_unmatched_net__count,0 +design__lvs_unmatched_pin__count,0 diff --git a/projects/tt_um_levenshtein/stats/synthesis-stats.txt b/projects/tt_um_levenshtein/stats/synthesis-stats.txt new file mode 100644 index 0000000..5c8887a --- /dev/null +++ b/projects/tt_um_levenshtein/stats/synthesis-stats.txt @@ -0,0 +1,73 @@ +66. Printing statistics. + +=== tt_um_levenshtein === + + Number of wires: 1203 + Number of wire bits: 1238 + Number of public wires: 240 + Number of public wire bits: 275 + Number of memories: 0 + Number of memory bits: 0 + Number of processes: 0 + Number of cells: 1219 + sky130_fd_sc_hd__a2111o_2 3 + sky130_fd_sc_hd__a211o_2 16 + sky130_fd_sc_hd__a211oi_2 13 + sky130_fd_sc_hd__a21boi_2 2 + sky130_fd_sc_hd__a21o_2 49 + sky130_fd_sc_hd__a21oi_2 24 + sky130_fd_sc_hd__a221o_2 30 + sky130_fd_sc_hd__a221oi_2 2 + sky130_fd_sc_hd__a22o_2 28 + sky130_fd_sc_hd__a22oi_2 3 + sky130_fd_sc_hd__a2bb2o_2 15 + sky130_fd_sc_hd__a2bb2oi_2 1 + sky130_fd_sc_hd__a311o_2 8 + sky130_fd_sc_hd__a31o_2 12 + sky130_fd_sc_hd__a31oi_2 1 + sky130_fd_sc_hd__a32o_2 31 + sky130_fd_sc_hd__a41o_2 1 + sky130_fd_sc_hd__and2_2 68 + sky130_fd_sc_hd__and2b_2 4 + sky130_fd_sc_hd__and3_2 63 + sky130_fd_sc_hd__and3b_2 17 + sky130_fd_sc_hd__and4_2 18 + sky130_fd_sc_hd__and4b_2 1 + sky130_fd_sc_hd__and4bb_2 5 + sky130_fd_sc_hd__buf_2 24 + sky130_fd_sc_hd__dfxtp_2 232 + sky130_fd_sc_hd__inv_2 34 + sky130_fd_sc_hd__mux2_1 68 + sky130_fd_sc_hd__mux4_2 1 + sky130_fd_sc_hd__nand2_2 70 + sky130_fd_sc_hd__nand2b_2 3 + sky130_fd_sc_hd__nand3_2 5 + sky130_fd_sc_hd__nand3b_2 1 + sky130_fd_sc_hd__nand4_2 2 + sky130_fd_sc_hd__nor2_2 36 + sky130_fd_sc_hd__nor3_2 2 + sky130_fd_sc_hd__nor3b_2 1 + sky130_fd_sc_hd__o2111a_2 2 + sky130_fd_sc_hd__o211a_2 87 + sky130_fd_sc_hd__o211ai_2 2 + sky130_fd_sc_hd__o21a_2 11 + sky130_fd_sc_hd__o21ai_2 12 + sky130_fd_sc_hd__o21ba_2 3 + sky130_fd_sc_hd__o21bai_2 13 + sky130_fd_sc_hd__o221a_2 26 + sky130_fd_sc_hd__o22a_2 4 + sky130_fd_sc_hd__o2bb2a_2 16 + sky130_fd_sc_hd__o2bb2ai_2 1 + sky130_fd_sc_hd__o311a_2 1 + sky130_fd_sc_hd__o31a_2 4 + sky130_fd_sc_hd__o31ai_2 1 + sky130_fd_sc_hd__or2_2 102 + sky130_fd_sc_hd__or3_2 31 + sky130_fd_sc_hd__or3b_2 2 + sky130_fd_sc_hd__or4_2 2 + sky130_fd_sc_hd__or4bb_2 1 + sky130_fd_sc_hd__xnor2_2 3 + sky130_fd_sc_hd__xor2_2 1 + + Chip area for module '\tt_um_levenshtein': 13555.500800 + diff --git a/projects/tt_um_levenshtein/tt_um_levenshtein.gds b/projects/tt_um_levenshtein/tt_um_levenshtein.gds new file mode 100644 index 0000000..254ed5f Binary files /dev/null and b/projects/tt_um_levenshtein/tt_um_levenshtein.gds differ diff --git a/projects/tt_um_levenshtein/tt_um_levenshtein.lef b/projects/tt_um_levenshtein/tt_um_levenshtein.lef new file mode 100644 index 0000000..85f0dbf --- /dev/null +++ b/projects/tt_um_levenshtein/tt_um_levenshtein.lef @@ -0,0 +1,494 @@ +VERSION 5.7 ; + NOWIREEXTENSIONATPIN ON ; + DIVIDERCHAR "/" ; + BUSBITCHARS "[]" ; +MACRO tt_um_levenshtein + CLASS BLOCK ; + FOREIGN tt_um_levenshtein ; + ORIGIN 0.000 0.000 ; + SIZE 161.000 BY 111.520 ; + PIN VGND + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER met4 ; + RECT 21.580 2.480 23.180 109.040 ; + END + PORT + LAYER met4 ; + RECT 60.450 2.480 62.050 109.040 ; + END + PORT + LAYER met4 ; + RECT 99.320 2.480 100.920 109.040 ; + END + PORT + LAYER met4 ; + RECT 138.190 2.480 139.790 109.040 ; + END + END VGND + PIN VPWR + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER met4 ; + RECT 18.280 2.480 19.880 109.040 ; + END + PORT + LAYER met4 ; + RECT 57.150 2.480 58.750 109.040 ; + END + PORT + LAYER met4 ; + RECT 96.020 2.480 97.620 109.040 ; + END + PORT + LAYER met4 ; + RECT 134.890 2.480 136.490 109.040 ; + END + END VPWR + PIN clk + DIRECTION INPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 0.852000 ; + PORT + LAYER met4 ; + RECT 143.830 110.520 144.130 111.520 ; + END + END clk + PIN ena + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 146.590 110.520 146.890 111.520 ; + END + END ena + PIN rst_n + DIRECTION INPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 0.196500 ; + PORT + LAYER met4 ; + RECT 141.070 110.520 141.370 111.520 ; + END + END rst_n + PIN ui_in[0] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 138.310 110.520 138.610 111.520 ; + END + END ui_in[0] + PIN ui_in[1] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 135.550 110.520 135.850 111.520 ; + END + END ui_in[1] + PIN ui_in[2] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 132.790 110.520 133.090 111.520 ; + END + END ui_in[2] + PIN ui_in[3] + DIRECTION INPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 0.213000 ; + PORT + LAYER met4 ; + RECT 130.030 110.520 130.330 111.520 ; + END + END ui_in[3] + PIN ui_in[4] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 127.270 110.520 127.570 111.520 ; + END + END ui_in[4] + PIN ui_in[5] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 124.510 110.520 124.810 111.520 ; + END + END ui_in[5] + PIN ui_in[6] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 121.750 110.520 122.050 111.520 ; + END + END ui_in[6] + PIN ui_in[7] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 118.990 110.520 119.290 111.520 ; + END + END ui_in[7] + PIN uio_in[0] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 116.230 110.520 116.530 111.520 ; + END + END uio_in[0] + PIN uio_in[1] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 113.470 110.520 113.770 111.520 ; + END + END uio_in[1] + PIN uio_in[2] + DIRECTION INPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 0.196500 ; + PORT + LAYER met4 ; + RECT 110.710 110.520 111.010 111.520 ; + END + END uio_in[2] + PIN uio_in[3] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 107.950 110.520 108.250 111.520 ; + END + END uio_in[3] + PIN uio_in[4] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 105.190 110.520 105.490 111.520 ; + END + END uio_in[4] + PIN uio_in[5] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 102.430 110.520 102.730 111.520 ; + END + END uio_in[5] + PIN uio_in[6] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 99.670 110.520 99.970 111.520 ; + END + END uio_in[6] + PIN uio_in[7] + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 96.910 110.520 97.210 111.520 ; + END + END uio_in[7] + PIN uio_oe[0] + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 49.990 110.520 50.290 111.520 ; + END + END uio_oe[0] + PIN uio_oe[1] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 47.230 110.520 47.530 111.520 ; + END + END uio_oe[1] + PIN uio_oe[2] + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER met4 ; + RECT 44.470 110.520 44.770 111.520 ; + END + END uio_oe[2] + PIN uio_oe[3] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 41.710 110.520 42.010 111.520 ; + END + END uio_oe[3] + PIN uio_oe[4] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 38.950 110.520 39.250 111.520 ; + END + END uio_oe[4] + PIN uio_oe[5] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 36.190 110.520 36.490 111.520 ; + END + END uio_oe[5] + PIN uio_oe[6] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 33.430 110.520 33.730 111.520 ; + END + END uio_oe[6] + PIN uio_oe[7] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 30.670 110.520 30.970 111.520 ; + END + END uio_oe[7] + PIN uio_out[0] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 0.373500 ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 72.070 110.520 72.370 111.520 ; + END + END uio_out[0] + PIN uio_out[1] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 0.247500 ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 69.310 110.520 69.610 111.520 ; + END + END uio_out[1] + PIN uio_out[2] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 66.550 110.520 66.850 111.520 ; + END + END uio_out[2] + PIN uio_out[3] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 2.232000 ; + ANTENNADIFFAREA 0.891000 ; + PORT + LAYER met4 ; + RECT 63.790 110.520 64.090 111.520 ; + END + END uio_out[3] + PIN uio_out[4] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 61.030 110.520 61.330 111.520 ; + END + END uio_out[4] + PIN uio_out[5] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 58.270 110.520 58.570 111.520 ; + END + END uio_out[5] + PIN uio_out[6] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 55.510 110.520 55.810 111.520 ; + END + END uio_out[6] + PIN uio_out[7] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 52.750 110.520 53.050 111.520 ; + END + END uio_out[7] + PIN uo_out[0] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 94.150 110.520 94.450 111.520 ; + END + END uo_out[0] + PIN uo_out[1] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 91.390 110.520 91.690 111.520 ; + END + END uo_out[1] + PIN uo_out[2] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 88.630 110.520 88.930 111.520 ; + END + END uo_out[2] + PIN uo_out[3] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 85.870 110.520 86.170 111.520 ; + END + END uo_out[3] + PIN uo_out[4] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNAGATEAREA 0.247500 ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 83.110 110.520 83.410 111.520 ; + END + END uo_out[4] + PIN uo_out[5] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 80.350 110.520 80.650 111.520 ; + END + END uo_out[5] + PIN uo_out[6] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 77.590 110.520 77.890 111.520 ; + END + END uo_out[6] + PIN uo_out[7] + DIRECTION OUTPUT ; + USE SIGNAL ; + ANTENNADIFFAREA 0.445500 ; + PORT + LAYER met4 ; + RECT 74.830 110.520 75.130 111.520 ; + END + END uo_out[7] + OBS + LAYER nwell ; + RECT 2.570 2.635 158.430 108.990 ; + LAYER li1 ; + RECT 2.760 2.635 158.240 108.885 ; + LAYER met1 ; + RECT 1.910 0.040 160.010 110.460 ; + LAYER met2 ; + RECT 1.940 0.010 159.980 110.685 ; + LAYER met3 ; + RECT 4.205 0.180 156.795 110.665 ; + LAYER met4 ; + RECT 11.335 110.120 30.270 110.665 ; + RECT 31.370 110.120 33.030 110.665 ; + RECT 34.130 110.120 35.790 110.665 ; + RECT 36.890 110.120 38.550 110.665 ; + RECT 39.650 110.120 41.310 110.665 ; + RECT 42.410 110.120 44.070 110.665 ; + RECT 45.170 110.120 46.830 110.665 ; + RECT 47.930 110.120 49.590 110.665 ; + RECT 50.690 110.120 52.350 110.665 ; + RECT 53.450 110.120 55.110 110.665 ; + RECT 56.210 110.120 57.870 110.665 ; + RECT 58.970 110.120 60.630 110.665 ; + RECT 61.730 110.120 63.390 110.665 ; + RECT 64.490 110.120 66.150 110.665 ; + RECT 67.250 110.120 68.910 110.665 ; + RECT 70.010 110.120 71.670 110.665 ; + RECT 72.770 110.120 74.430 110.665 ; + RECT 75.530 110.120 77.190 110.665 ; + RECT 78.290 110.120 79.950 110.665 ; + RECT 81.050 110.120 82.710 110.665 ; + RECT 83.810 110.120 85.470 110.665 ; + RECT 86.570 110.120 88.230 110.665 ; + RECT 89.330 110.120 90.990 110.665 ; + RECT 92.090 110.120 93.750 110.665 ; + RECT 94.850 110.120 96.510 110.665 ; + RECT 97.610 110.120 99.270 110.665 ; + RECT 100.370 110.120 102.030 110.665 ; + RECT 103.130 110.120 104.790 110.665 ; + RECT 105.890 110.120 107.550 110.665 ; + RECT 108.650 110.120 110.310 110.665 ; + RECT 111.410 110.120 113.070 110.665 ; + RECT 114.170 110.120 115.830 110.665 ; + RECT 116.930 110.120 118.590 110.665 ; + RECT 119.690 110.120 121.350 110.665 ; + RECT 122.450 110.120 124.110 110.665 ; + RECT 125.210 110.120 126.870 110.665 ; + RECT 127.970 110.120 129.630 110.665 ; + RECT 130.730 110.120 132.390 110.665 ; + RECT 133.490 110.120 135.150 110.665 ; + RECT 136.250 110.120 137.910 110.665 ; + RECT 139.010 110.120 140.670 110.665 ; + RECT 141.770 110.120 143.430 110.665 ; + RECT 144.530 110.120 146.190 110.665 ; + RECT 147.290 110.120 150.585 110.665 ; + RECT 11.335 109.440 150.585 110.120 ; + RECT 11.335 2.080 17.880 109.440 ; + RECT 20.280 2.080 21.180 109.440 ; + RECT 23.580 2.080 56.750 109.440 ; + RECT 59.150 2.080 60.050 109.440 ; + RECT 62.450 2.080 95.620 109.440 ; + RECT 98.020 2.080 98.920 109.440 ; + RECT 101.320 2.080 134.490 109.440 ; + RECT 136.890 2.080 137.790 109.440 ; + RECT 140.190 2.080 150.585 109.440 ; + RECT 11.335 0.175 150.585 2.080 ; + END +END tt_um_levenshtein +END LIBRARY + diff --git a/projects/tt_um_levenshtein/tt_um_levenshtein.v b/projects/tt_um_levenshtein/tt_um_levenshtein.v new file mode 100644 index 0000000..858e2ee --- /dev/null +++ b/projects/tt_um_levenshtein/tt_um_levenshtein.v @@ -0,0 +1,15506 @@ +module tt_um_levenshtein (clk, + ena, + rst_n, + VPWR, + VGND, + ui_in, + uio_in, + uio_oe, + uio_out, + uo_out); + input clk; + input ena; + input rst_n; + inout VPWR; + inout VGND; + input [7:0] ui_in; + input [7:0] uio_in; + output [7:0] uio_oe; + output [7:0] uio_out; + output [7:0] uo_out; + + wire _0000_; + wire _0001_; + wire _0002_; + wire _0003_; + wire _0004_; + wire _0005_; + wire _0006_; + wire _0007_; + wire _0008_; + wire _0009_; + wire _0010_; + wire _0011_; + wire _0012_; + wire _0013_; + wire _0014_; + wire _0015_; + wire _0016_; + wire _0017_; + wire _0018_; + wire _0019_; + wire _0020_; + wire _0021_; + wire _0022_; + wire _0023_; + wire _0024_; + wire _0025_; + wire _0026_; + wire _0027_; + wire _0028_; + wire _0029_; + wire _0030_; + wire _0031_; + wire _0032_; + wire _0033_; + wire _0034_; + wire _0035_; + wire _0036_; + wire _0037_; + wire _0038_; + wire _0039_; + wire _0040_; + wire _0041_; + wire _0042_; + wire _0043_; + wire _0044_; + wire _0045_; + wire _0046_; + wire _0047_; + wire _0048_; + wire _0049_; + wire _0050_; + wire _0051_; + wire _0052_; + wire _0053_; + wire _0054_; + wire _0055_; + wire _0056_; + wire _0057_; + wire _0058_; + wire _0059_; + wire _0060_; + wire _0061_; + wire _0062_; + wire _0063_; + wire _0064_; + wire _0065_; + wire _0066_; + wire _0067_; + wire _0068_; + wire _0069_; + wire _0070_; + wire _0071_; + wire _0072_; + wire _0073_; + wire _0074_; + wire _0075_; + wire _0076_; + wire _0077_; + wire _0078_; + wire _0079_; + wire _0080_; + wire _0081_; + wire _0082_; + wire _0083_; + wire _0084_; + wire _0085_; + wire _0086_; + wire _0087_; + wire _0088_; + wire _0089_; + wire _0090_; + wire _0091_; + wire _0092_; + wire _0093_; + wire _0094_; + wire _0095_; + wire _0096_; + wire _0097_; + wire _0098_; + wire _0099_; + wire _0100_; + wire _0101_; + wire _0102_; + wire _0103_; + wire _0104_; + wire _0105_; + wire _0106_; + wire _0107_; + wire _0108_; + wire _0109_; + wire _0110_; + wire _0111_; + wire _0112_; + wire _0113_; + wire _0114_; + wire _0115_; + wire _0116_; + wire _0117_; + wire _0118_; + wire _0119_; + wire _0120_; + wire _0121_; + wire _0122_; + wire _0123_; + wire _0124_; + wire _0125_; + wire _0126_; + wire _0127_; + wire _0128_; + wire _0129_; + wire _0130_; + wire _0131_; + wire _0132_; + wire _0133_; + wire _0134_; + wire _0135_; + wire _0136_; + wire _0137_; + wire _0138_; + wire _0139_; + wire _0140_; + wire _0141_; + wire _0142_; + wire _0143_; + wire _0144_; + wire _0145_; + wire _0146_; + wire _0147_; + wire _0148_; + wire _0149_; + wire _0150_; + wire _0151_; + wire _0152_; + wire _0153_; + wire _0154_; + wire _0155_; + wire _0156_; + wire _0157_; + wire _0158_; + wire _0159_; + wire _0160_; + wire _0161_; + wire _0162_; + wire _0163_; + wire _0164_; + wire _0165_; + wire _0166_; + wire _0167_; + wire _0168_; + wire _0169_; + wire _0170_; + wire _0171_; + wire _0172_; + wire _0173_; + wire _0174_; + wire _0175_; + wire _0176_; + wire _0177_; + wire _0178_; + wire _0179_; + wire _0180_; + wire _0181_; + wire _0182_; + wire _0183_; + wire _0184_; + wire _0185_; + wire _0186_; + wire _0187_; + wire _0188_; + wire _0189_; + wire _0190_; + wire _0191_; + wire _0192_; + wire _0193_; + wire _0194_; + wire _0195_; + wire _0196_; + wire _0197_; + wire _0198_; + wire _0199_; + wire _0200_; + wire _0201_; + wire _0202_; + wire _0203_; + wire _0204_; + wire _0205_; + wire _0206_; + wire _0207_; + wire _0208_; + wire _0209_; + wire _0210_; + wire _0211_; + wire _0212_; + wire _0213_; + wire _0214_; + wire _0215_; + wire _0216_; + wire _0217_; + wire _0218_; + wire _0219_; + wire _0220_; + wire _0221_; + wire _0222_; + wire _0223_; + wire _0224_; + wire _0225_; + wire _0226_; + wire _0227_; + wire _0228_; + wire _0229_; + wire _0230_; + wire _0231_; + wire _0232_; + wire _0233_; + wire _0234_; + wire _0235_; + wire _0236_; + wire _0237_; + wire _0238_; + wire _0239_; + wire _0240_; + wire _0241_; + wire _0242_; + wire _0243_; + wire _0244_; + wire _0245_; + wire _0246_; + wire _0247_; + wire _0248_; + wire _0249_; + wire _0250_; + wire _0251_; + wire _0252_; + wire _0253_; + wire _0254_; + wire _0255_; + wire _0256_; + wire _0257_; + wire _0258_; + wire _0259_; + wire _0260_; + wire _0261_; + wire _0262_; + wire _0263_; + wire _0264_; + wire _0265_; + wire _0266_; + wire _0267_; + wire _0268_; + wire _0269_; + wire _0270_; + wire _0271_; + wire _0272_; + wire _0273_; + wire _0274_; + wire _0275_; + wire _0276_; + wire _0277_; + wire _0278_; + wire _0279_; + wire _0280_; + wire _0281_; + wire _0282_; + wire _0283_; + wire _0284_; + wire _0285_; + wire _0286_; + wire _0287_; + wire _0288_; + wire _0289_; + wire _0290_; + wire _0291_; + wire _0292_; + wire _0293_; + wire _0294_; + wire _0295_; + wire _0296_; + wire _0297_; + wire _0298_; + wire _0299_; + wire _0300_; + wire _0301_; + wire _0302_; + wire _0303_; + wire _0304_; + wire _0305_; + wire _0306_; + wire _0307_; + wire _0308_; + wire _0309_; + wire _0310_; + wire _0311_; + wire _0312_; + wire _0313_; + wire _0314_; + wire _0315_; + wire _0316_; + wire _0317_; + wire _0318_; + wire _0319_; + wire _0320_; + wire _0321_; + wire _0322_; + wire _0323_; + wire _0324_; + wire _0325_; + wire _0326_; + wire _0327_; + wire _0328_; + wire _0329_; + wire _0330_; + wire _0331_; + wire _0332_; + wire _0333_; + wire _0334_; + wire _0335_; + wire _0336_; + wire _0337_; + wire _0338_; + wire _0339_; + wire _0340_; + wire _0341_; + wire _0342_; + wire _0343_; + wire _0344_; + wire _0345_; + wire _0346_; + wire _0347_; + wire _0348_; + wire _0349_; + wire _0350_; + wire _0351_; + wire _0352_; + wire _0353_; + wire _0354_; + wire _0355_; + wire _0356_; + wire _0357_; + wire _0358_; + wire _0359_; + wire _0360_; + wire _0361_; + wire _0362_; + wire _0363_; + wire _0364_; + wire _0365_; + wire _0366_; + wire _0367_; + wire _0368_; + wire _0369_; + wire _0370_; + wire _0371_; + wire _0372_; + wire _0373_; + wire _0374_; + wire _0375_; + wire _0376_; + wire _0377_; + wire _0378_; + wire _0379_; + wire _0380_; + wire _0381_; + wire _0382_; + wire _0383_; + wire _0384_; + wire _0385_; + wire _0386_; + wire _0387_; + wire _0388_; + wire _0389_; + wire _0390_; + wire _0391_; + wire _0392_; + wire _0393_; + wire _0394_; + wire _0395_; + wire _0396_; + wire _0397_; + wire _0398_; + wire _0399_; + wire _0400_; + wire _0401_; + wire _0402_; + wire _0403_; + wire _0404_; + wire _0405_; + wire _0406_; + wire _0407_; + wire _0408_; + wire _0409_; + wire _0410_; + wire _0411_; + wire _0412_; + wire _0413_; + wire _0414_; + wire _0415_; + wire _0416_; + wire _0417_; + wire _0418_; + wire _0419_; + wire _0420_; + wire _0421_; + wire _0422_; + wire _0423_; + wire _0424_; + wire _0425_; + wire _0426_; + wire _0427_; + wire _0428_; + wire _0429_; + wire _0430_; + wire _0431_; + wire _0432_; + wire _0433_; + wire _0434_; + wire _0435_; + wire _0436_; + wire _0437_; + wire _0438_; + wire _0439_; + wire _0440_; + wire _0441_; + wire _0442_; + wire _0443_; + wire _0444_; + wire _0445_; + wire _0446_; + wire _0447_; + wire _0448_; + wire _0449_; + wire _0450_; + wire _0451_; + wire _0452_; + wire _0453_; + wire _0454_; + wire _0455_; + wire _0456_; + wire _0457_; + wire _0458_; + wire _0459_; + wire _0460_; + wire _0461_; + wire _0462_; + wire _0463_; + wire _0464_; + wire _0465_; + wire _0466_; + wire _0467_; + wire _0468_; + wire _0469_; + wire _0470_; + wire _0471_; + wire _0472_; + wire _0473_; + wire _0474_; + wire _0475_; + wire _0476_; + wire _0477_; + wire _0478_; + wire _0479_; + wire _0480_; + wire _0481_; + wire _0482_; + wire _0483_; + wire _0484_; + wire _0485_; + wire _0486_; + wire _0487_; + wire _0488_; + wire _0489_; + wire _0490_; + wire _0491_; + wire _0492_; + wire _0493_; + wire _0494_; + wire _0495_; + wire _0496_; + wire _0497_; + wire _0498_; + wire _0499_; + wire _0500_; + wire _0501_; + wire _0502_; + wire _0503_; + wire _0504_; + wire _0505_; + wire _0506_; + wire _0507_; + wire _0508_; + wire _0509_; + wire _0510_; + wire _0511_; + wire _0512_; + wire _0513_; + wire _0514_; + wire _0515_; + wire _0516_; + wire _0517_; + wire _0518_; + wire _0519_; + wire _0520_; + wire _0521_; + wire _0522_; + wire _0523_; + wire _0524_; + wire _0525_; + wire _0526_; + wire _0527_; + wire _0528_; + wire _0529_; + wire _0530_; + wire _0531_; + wire _0532_; + wire _0533_; + wire _0534_; + wire _0535_; + wire _0536_; + wire _0537_; + wire _0538_; + wire _0539_; + wire _0540_; + wire _0541_; + wire _0542_; + wire _0543_; + wire _0544_; + wire _0545_; + wire _0546_; + wire _0547_; + wire _0548_; + wire _0549_; + wire _0550_; + wire _0551_; + wire _0552_; + wire _0553_; + wire _0554_; + wire _0555_; + wire _0556_; + wire _0557_; + wire _0558_; + wire _0559_; + wire _0560_; + wire _0561_; + wire _0562_; + wire _0563_; + wire _0564_; + wire _0565_; + wire _0566_; + wire _0567_; + wire _0568_; + wire _0569_; + wire _0570_; + wire _0571_; + wire _0572_; + wire _0573_; + wire _0574_; + wire _0575_; + wire _0576_; + wire _0577_; + wire _0578_; + wire _0579_; + wire _0580_; + wire _0581_; + wire _0582_; + wire _0583_; + wire _0584_; + wire _0585_; + wire _0586_; + wire _0587_; + wire _0588_; + wire _0589_; + wire _0590_; + wire _0591_; + wire _0592_; + wire _0593_; + wire _0594_; + wire _0595_; + wire _0596_; + wire _0597_; + wire _0598_; + wire _0599_; + wire _0600_; + wire _0601_; + wire _0602_; + wire _0603_; + wire _0604_; + wire _0605_; + wire _0606_; + wire _0607_; + wire _0608_; + wire _0609_; + wire _0610_; + wire _0611_; + wire _0612_; + wire _0613_; + wire _0614_; + wire _0615_; + wire _0616_; + wire _0617_; + wire _0618_; + wire _0619_; + wire _0620_; + wire _0621_; + wire _0622_; + wire _0623_; + wire _0624_; + wire _0625_; + wire _0626_; + wire _0627_; + wire _0628_; + wire _0629_; + wire _0630_; + wire _0631_; + wire _0632_; + wire _0633_; + wire _0634_; + wire _0635_; + wire _0636_; + wire _0637_; + wire _0638_; + wire _0639_; + wire _0640_; + wire _0641_; + wire _0642_; + wire _0643_; + wire _0644_; + wire _0645_; + wire _0646_; + wire _0647_; + wire _0648_; + wire _0649_; + wire _0650_; + wire _0651_; + wire _0652_; + wire _0653_; + wire _0654_; + wire _0655_; + wire _0656_; + wire _0657_; + wire _0658_; + wire _0659_; + wire _0660_; + wire _0661_; + wire _0662_; + wire _0663_; + wire _0664_; + wire _0665_; + wire _0666_; + wire _0667_; + wire _0668_; + wire _0669_; + wire _0670_; + wire _0671_; + wire _0672_; + wire _0673_; + wire _0674_; + wire _0675_; + wire _0676_; + wire _0677_; + wire _0678_; + wire _0679_; + wire _0680_; + wire _0681_; + wire _0682_; + wire _0683_; + wire _0684_; + wire _0685_; + wire _0686_; + wire _0687_; + wire _0688_; + wire _0689_; + wire _0690_; + wire _0691_; + wire _0692_; + wire _0693_; + wire _0694_; + wire _0695_; + wire _0696_; + wire _0697_; + wire _0698_; + wire _0699_; + wire _0700_; + wire _0701_; + wire _0702_; + wire _0703_; + wire _0704_; + wire _0705_; + wire _0706_; + wire _0707_; + wire _0708_; + wire _0709_; + wire _0710_; + wire _0711_; + wire _0712_; + wire _0713_; + wire _0714_; + wire _0715_; + wire _0716_; + wire _0717_; + wire _0718_; + wire _0719_; + wire _0720_; + wire _0721_; + wire _0722_; + wire _0723_; + wire _0724_; + wire _0725_; + wire _0726_; + wire _0727_; + wire _0728_; + wire _0729_; + wire _0730_; + wire _0731_; + wire _0732_; + wire _0733_; + wire _0734_; + wire _0735_; + wire _0736_; + wire _0737_; + wire _0738_; + wire _0739_; + wire _0740_; + wire _0741_; + wire _0742_; + wire _0743_; + wire _0744_; + wire _0745_; + wire _0746_; + wire _0747_; + wire _0748_; + wire _0749_; + wire _0750_; + wire _0751_; + wire _0752_; + wire _0753_; + wire _0754_; + wire _0755_; + wire _0756_; + wire _0757_; + wire _0758_; + wire _0759_; + wire _0760_; + wire _0761_; + wire _0762_; + wire _0763_; + wire _0764_; + wire _0765_; + wire _0766_; + wire _0767_; + wire _0768_; + wire _0769_; + wire _0770_; + wire _0771_; + wire _0772_; + wire _0773_; + wire _0774_; + wire _0775_; + wire _0776_; + wire _0777_; + wire _0778_; + wire _0779_; + wire _0780_; + wire _0781_; + wire _0782_; + wire _0783_; + wire _0784_; + wire _0785_; + wire _0786_; + wire _0787_; + wire _0788_; + wire _0789_; + wire _0790_; + wire _0791_; + wire _0792_; + wire _0793_; + wire _0794_; + wire _0795_; + wire _0796_; + wire _0797_; + wire _0798_; + wire _0799_; + wire _0800_; + wire _0801_; + wire _0802_; + wire _0803_; + wire _0804_; + wire _0805_; + wire _0806_; + wire _0807_; + wire _0808_; + wire _0809_; + wire _0810_; + wire _0811_; + wire _0812_; + wire _0813_; + wire _0814_; + wire _0815_; + wire _0816_; + wire _0817_; + wire _0818_; + wire _0819_; + wire _0820_; + wire _0821_; + wire _0822_; + wire _0823_; + wire _0824_; + wire _0825_; + wire _0826_; + wire _0827_; + wire _0828_; + wire _0829_; + wire _0830_; + wire _0831_; + wire _0832_; + wire _0833_; + wire _0834_; + wire _0835_; + wire _0836_; + wire _0837_; + wire _0838_; + wire _0839_; + wire _0840_; + wire _0841_; + wire _0842_; + wire _0843_; + wire _0844_; + wire _0845_; + wire _0846_; + wire _0847_; + wire _0848_; + wire _0849_; + wire _0850_; + wire _0851_; + wire _0852_; + wire _0853_; + wire _0854_; + wire _0855_; + wire _0856_; + wire _0857_; + wire _0858_; + wire _0859_; + wire _0860_; + wire _0861_; + wire _0862_; + wire _0863_; + wire _0864_; + wire _0865_; + wire _0866_; + wire _0867_; + wire _0868_; + wire _0869_; + wire _0870_; + wire _0871_; + wire _0872_; + wire _0873_; + wire _0874_; + wire _0875_; + wire _0876_; + wire _0877_; + wire _0878_; + wire _0879_; + wire _0880_; + wire _0881_; + wire _0882_; + wire _0883_; + wire _0884_; + wire _0885_; + wire _0886_; + wire _0887_; + wire _0888_; + wire _0889_; + wire _0890_; + wire _0891_; + wire _0892_; + wire _0893_; + wire _0894_; + wire _0895_; + wire _0896_; + wire _0897_; + wire _0898_; + wire _0899_; + wire _0900_; + wire _0901_; + wire _0902_; + wire _0903_; + wire _0904_; + wire _0905_; + wire _0906_; + wire _0907_; + wire _0908_; + wire _0909_; + wire _0910_; + wire _0911_; + wire _0912_; + wire _0913_; + wire _0914_; + wire _0915_; + wire _0916_; + wire _0917_; + wire _0918_; + wire _0919_; + wire _0920_; + wire _0921_; + wire _0922_; + wire _0923_; + wire _0924_; + wire _0925_; + wire _0926_; + wire _0927_; + wire _0928_; + wire _0929_; + wire _0930_; + wire _0931_; + wire _0932_; + wire _0933_; + wire _0934_; + wire _0935_; + wire _0936_; + wire _0937_; + wire _0938_; + wire _0939_; + wire _0940_; + wire _0941_; + wire _0942_; + wire _0943_; + wire _0944_; + wire _0945_; + wire _0946_; + wire _0947_; + wire _0948_; + wire _0949_; + wire _0950_; + wire _0951_; + wire _0952_; + wire _0953_; + wire _0954_; + wire _0955_; + wire _0956_; + wire _0957_; + wire _0958_; + wire _0959_; + wire _0960_; + wire _0961_; + wire _0962_; + wire \intercon.arbiter.cyc_o ; + wire \intercon.arbiter.gnt_o ; + wire \intercon.wbm0_adr_i[0] ; + wire \intercon.wbm0_adr_i[10] ; + wire \intercon.wbm0_adr_i[11] ; + wire \intercon.wbm0_adr_i[12] ; + wire \intercon.wbm0_adr_i[13] ; + wire \intercon.wbm0_adr_i[14] ; + wire \intercon.wbm0_adr_i[15] ; + wire \intercon.wbm0_adr_i[16] ; + wire \intercon.wbm0_adr_i[17] ; + wire \intercon.wbm0_adr_i[18] ; + wire \intercon.wbm0_adr_i[19] ; + wire \intercon.wbm0_adr_i[1] ; + wire \intercon.wbm0_adr_i[20] ; + wire \intercon.wbm0_adr_i[21] ; + wire \intercon.wbm0_adr_i[22] ; + wire \intercon.wbm0_adr_i[2] ; + wire \intercon.wbm0_adr_i[3] ; + wire \intercon.wbm0_adr_i[4] ; + wire \intercon.wbm0_adr_i[5] ; + wire \intercon.wbm0_adr_i[6] ; + wire \intercon.wbm0_adr_i[7] ; + wire \intercon.wbm0_adr_i[8] ; + wire \intercon.wbm0_adr_i[9] ; + wire \intercon.wbm0_cyc_i ; + wire \intercon.wbm0_dat_i[0] ; + wire \intercon.wbm0_dat_i[1] ; + wire \intercon.wbm0_dat_i[2] ; + wire \intercon.wbm0_dat_i[3] ; + wire \intercon.wbm0_dat_i[4] ; + wire \intercon.wbm0_dat_i[5] ; + wire \intercon.wbm0_dat_i[6] ; + wire \intercon.wbm0_dat_i[7] ; + wire \intercon.wbm0_we_i ; + wire \intercon.wbm1_adr_i[21] ; + wire \intercon.wbm1_cyc_i ; + wire \intercon.wbs0_ack_i ; + wire \intercon.wbs1_ack_i ; + wire \intercon.wbs1_dat_i[0] ; + wire \intercon.wbs1_dat_i[1] ; + wire \intercon.wbs1_dat_i[2] ; + wire \intercon.wbs1_dat_i[3] ; + wire \intercon.wbs1_dat_i[4] ; + wire \intercon.wbs1_dat_i[5] ; + wire \intercon.wbs1_dat_i[6] ; + wire \intercon.wbs1_dat_i[7] ; + wire \levenshtein_ctrl.best_distance[0] ; + wire \levenshtein_ctrl.best_distance[1] ; + wire \levenshtein_ctrl.best_distance[2] ; + wire \levenshtein_ctrl.best_distance[3] ; + wire \levenshtein_ctrl.best_distance[4] ; + wire \levenshtein_ctrl.best_distance[5] ; + wire \levenshtein_ctrl.best_distance[6] ; + wire \levenshtein_ctrl.best_distance[7] ; + wire \levenshtein_ctrl.best_idx[0] ; + wire \levenshtein_ctrl.best_idx[10] ; + wire \levenshtein_ctrl.best_idx[11] ; + wire \levenshtein_ctrl.best_idx[12] ; + wire \levenshtein_ctrl.best_idx[13] ; + wire \levenshtein_ctrl.best_idx[14] ; + wire \levenshtein_ctrl.best_idx[15] ; + wire \levenshtein_ctrl.best_idx[1] ; + wire \levenshtein_ctrl.best_idx[2] ; + wire \levenshtein_ctrl.best_idx[3] ; + wire \levenshtein_ctrl.best_idx[4] ; + wire \levenshtein_ctrl.best_idx[5] ; + wire \levenshtein_ctrl.best_idx[6] ; + wire \levenshtein_ctrl.best_idx[7] ; + wire \levenshtein_ctrl.best_idx[8] ; + wire \levenshtein_ctrl.best_idx[9] ; + wire \levenshtein_ctrl.d[0] ; + wire \levenshtein_ctrl.d[1] ; + wire \levenshtein_ctrl.d[2] ; + wire \levenshtein_ctrl.d[3] ; + wire \levenshtein_ctrl.d[4] ; + wire \levenshtein_ctrl.d[5] ; + wire \levenshtein_ctrl.d[6] ; + wire \levenshtein_ctrl.d[7] ; + wire \levenshtein_ctrl.dict_address[0] ; + wire \levenshtein_ctrl.dict_address[10] ; + wire \levenshtein_ctrl.dict_address[11] ; + wire \levenshtein_ctrl.dict_address[12] ; + wire \levenshtein_ctrl.dict_address[13] ; + wire \levenshtein_ctrl.dict_address[14] ; + wire \levenshtein_ctrl.dict_address[15] ; + wire \levenshtein_ctrl.dict_address[16] ; + wire \levenshtein_ctrl.dict_address[17] ; + wire \levenshtein_ctrl.dict_address[18] ; + wire \levenshtein_ctrl.dict_address[19] ; + wire \levenshtein_ctrl.dict_address[1] ; + wire \levenshtein_ctrl.dict_address[20] ; + wire \levenshtein_ctrl.dict_address[2] ; + wire \levenshtein_ctrl.dict_address[3] ; + wire \levenshtein_ctrl.dict_address[4] ; + wire \levenshtein_ctrl.dict_address[5] ; + wire \levenshtein_ctrl.dict_address[6] ; + wire \levenshtein_ctrl.dict_address[7] ; + wire \levenshtein_ctrl.dict_address[8] ; + wire \levenshtein_ctrl.dict_address[9] ; + wire \levenshtein_ctrl.enabled ; + wire \levenshtein_ctrl.idx[0] ; + wire \levenshtein_ctrl.idx[10] ; + wire \levenshtein_ctrl.idx[11] ; + wire \levenshtein_ctrl.idx[12] ; + wire \levenshtein_ctrl.idx[13] ; + wire \levenshtein_ctrl.idx[14] ; + wire \levenshtein_ctrl.idx[15] ; + wire \levenshtein_ctrl.idx[1] ; + wire \levenshtein_ctrl.idx[2] ; + wire \levenshtein_ctrl.idx[3] ; + wire \levenshtein_ctrl.idx[4] ; + wire \levenshtein_ctrl.idx[5] ; + wire \levenshtein_ctrl.idx[6] ; + wire \levenshtein_ctrl.idx[7] ; + wire \levenshtein_ctrl.idx[8] ; + wire \levenshtein_ctrl.idx[9] ; + wire \levenshtein_ctrl.initial_vp[0] ; + wire \levenshtein_ctrl.initial_vp[10] ; + wire \levenshtein_ctrl.initial_vp[11] ; + wire \levenshtein_ctrl.initial_vp[12] ; + wire \levenshtein_ctrl.initial_vp[13] ; + wire \levenshtein_ctrl.initial_vp[14] ; + wire \levenshtein_ctrl.initial_vp[15] ; + wire \levenshtein_ctrl.initial_vp[1] ; + wire \levenshtein_ctrl.initial_vp[2] ; + wire \levenshtein_ctrl.initial_vp[3] ; + wire \levenshtein_ctrl.initial_vp[4] ; + wire \levenshtein_ctrl.initial_vp[5] ; + wire \levenshtein_ctrl.initial_vp[6] ; + wire \levenshtein_ctrl.initial_vp[7] ; + wire \levenshtein_ctrl.initial_vp[8] ; + wire \levenshtein_ctrl.initial_vp[9] ; + wire \levenshtein_ctrl.mask[0] ; + wire \levenshtein_ctrl.mask[10] ; + wire \levenshtein_ctrl.mask[11] ; + wire \levenshtein_ctrl.mask[12] ; + wire \levenshtein_ctrl.mask[13] ; + wire \levenshtein_ctrl.mask[14] ; + wire \levenshtein_ctrl.mask[15] ; + wire \levenshtein_ctrl.mask[1] ; + wire \levenshtein_ctrl.mask[2] ; + wire \levenshtein_ctrl.mask[3] ; + wire \levenshtein_ctrl.mask[4] ; + wire \levenshtein_ctrl.mask[5] ; + wire \levenshtein_ctrl.mask[6] ; + wire \levenshtein_ctrl.mask[7] ; + wire \levenshtein_ctrl.mask[8] ; + wire \levenshtein_ctrl.mask[9] ; + wire \levenshtein_ctrl.pm[0] ; + wire \levenshtein_ctrl.pm[10] ; + wire \levenshtein_ctrl.pm[11] ; + wire \levenshtein_ctrl.pm[12] ; + wire \levenshtein_ctrl.pm[13] ; + wire \levenshtein_ctrl.pm[14] ; + wire \levenshtein_ctrl.pm[15] ; + wire \levenshtein_ctrl.pm[1] ; + wire \levenshtein_ctrl.pm[2] ; + wire \levenshtein_ctrl.pm[3] ; + wire \levenshtein_ctrl.pm[4] ; + wire \levenshtein_ctrl.pm[5] ; + wire \levenshtein_ctrl.pm[6] ; + wire \levenshtein_ctrl.pm[7] ; + wire \levenshtein_ctrl.pm[8] ; + wire \levenshtein_ctrl.pm[9] ; + wire \levenshtein_ctrl.state[1] ; + wire \levenshtein_ctrl.state[2] ; + wire \levenshtein_ctrl.state[3] ; + wire \levenshtein_ctrl.vn[0] ; + wire \levenshtein_ctrl.vn[10] ; + wire \levenshtein_ctrl.vn[11] ; + wire \levenshtein_ctrl.vn[12] ; + wire \levenshtein_ctrl.vn[13] ; + wire \levenshtein_ctrl.vn[14] ; + wire \levenshtein_ctrl.vn[15] ; + wire \levenshtein_ctrl.vn[1] ; + wire \levenshtein_ctrl.vn[2] ; + wire \levenshtein_ctrl.vn[3] ; + wire \levenshtein_ctrl.vn[4] ; + wire \levenshtein_ctrl.vn[5] ; + wire \levenshtein_ctrl.vn[6] ; + wire \levenshtein_ctrl.vn[7] ; + wire \levenshtein_ctrl.vn[8] ; + wire \levenshtein_ctrl.vn[9] ; + wire \levenshtein_ctrl.vp[0] ; + wire \levenshtein_ctrl.vp[10] ; + wire \levenshtein_ctrl.vp[11] ; + wire \levenshtein_ctrl.vp[12] ; + wire \levenshtein_ctrl.vp[13] ; + wire \levenshtein_ctrl.vp[14] ; + wire \levenshtein_ctrl.vp[15] ; + wire \levenshtein_ctrl.vp[1] ; + wire \levenshtein_ctrl.vp[2] ; + wire \levenshtein_ctrl.vp[3] ; + wire \levenshtein_ctrl.vp[4] ; + wire \levenshtein_ctrl.vp[5] ; + wire \levenshtein_ctrl.vp[6] ; + wire \levenshtein_ctrl.vp[7] ; + wire \levenshtein_ctrl.vp[8] ; + wire \levenshtein_ctrl.vp[9] ; + wire \levenshtein_ctrl.word_length[0] ; + wire \levenshtein_ctrl.word_length[1] ; + wire \levenshtein_ctrl.word_length[2] ; + wire \levenshtein_ctrl.word_length[3] ; + wire \levenshtein_ctrl.word_length[4] ; + wire net6; + wire \spi_ctrl.bit_counter[0] ; + wire \spi_ctrl.bit_counter[1] ; + wire \spi_ctrl.bit_counter[2] ; + wire \spi_ctrl.bit_counter[3] ; + wire \spi_ctrl.bit_counter[4] ; + wire \spi_ctrl.bit_counter[5] ; + wire net3; + wire net2; + wire net4; + wire \uart.bit_counter[0] ; + wire \uart.bit_counter[1] ; + wire \uart.bit_counter[2] ; + wire \uart.byte_counter[0] ; + wire \uart.byte_counter[1] ; + wire \uart.clk_counter[0] ; + wire \uart.clk_counter[1] ; + wire \uart.clk_counter[2] ; + wire \uart.clk_counter[3] ; + wire \uart.state[0] ; + wire \uart.state[1] ; + wire \uart.state[2] ; + wire \uart.state[3] ; + wire \uart.state[4] ; + wire \uart.state[5] ; + wire \uart.state[6] ; + wire \uart.state[7] ; + wire net1; + wire clknet_leaf_0_clk; + wire net127; + wire net5; + wire net7; + wire net8; + wire net9; + wire net10; + wire net11; + wire net12; + wire net13; + wire net14; + wire net15; + wire net16; + wire net17; + wire net18; + wire net19; + wire net20; + wire net21; + wire net22; + wire net23; + wire net24; + wire net25; + wire net26; + wire net27; + wire net28; + wire net29; + wire net30; + wire net31; + wire net32; + wire net33; + wire net34; + wire net35; + wire net36; + wire net37; + wire net38; + wire net39; + wire net40; + wire net41; + wire net42; + wire net43; + wire net44; + wire net45; + wire net46; + wire net47; + wire net48; + wire net49; + wire net50; + wire net51; + wire net52; + wire net53; + wire net54; + wire net55; + wire net56; + wire net57; + wire net58; + wire net59; + wire net60; + wire net61; + wire net62; + wire net63; + wire net64; + wire net65; + wire net66; + wire net67; + wire net68; + wire net69; + wire net70; + wire net71; + wire net72; + wire net73; + wire net74; + wire net75; + wire net76; + wire net77; + wire net78; + wire net79; + wire net80; + wire net81; + wire net82; + wire net83; + wire net84; + wire net85; + wire net86; + wire net87; + wire net88; + wire net89; + wire net90; + wire net91; + wire net92; + wire net93; + wire net94; + wire net95; + wire net96; + wire net97; + wire net98; + wire net99; + wire net100; + wire net101; + wire net102; + wire net103; + wire net104; + wire net105; + wire net106; + wire net107; + wire net108; + wire net109; + wire net110; + wire net111; + wire net112; + wire net113; + wire net114; + wire net115; + wire net116; + wire net117; + wire net118; + wire net119; + wire net120; + wire net121; + wire net122; + wire net123; + wire net124; + wire net125; + wire net126; + wire net128; + wire net129; + wire clknet_leaf_1_clk; + wire clknet_leaf_2_clk; + wire clknet_leaf_3_clk; + wire clknet_leaf_4_clk; + wire clknet_leaf_5_clk; + wire clknet_leaf_6_clk; + wire clknet_leaf_7_clk; + wire clknet_leaf_8_clk; + wire clknet_leaf_9_clk; + wire clknet_leaf_10_clk; + wire clknet_leaf_11_clk; + wire clknet_leaf_12_clk; + wire clknet_0_clk; + wire clknet_1_0__leaf_clk; + wire clknet_1_1__leaf_clk; + wire net130; + wire net131; + wire net132; + wire net133; + wire net134; + wire net135; + wire net136; + wire net137; + wire net138; + wire net139; + wire net140; + wire net141; + wire net142; + wire net143; + wire net144; + wire net145; + wire net146; + wire net147; + wire net148; + wire net149; + wire net150; + wire net151; + wire net152; + wire net153; + wire net154; + wire net155; + wire net156; + wire net157; + wire net158; + wire net159; + wire net160; + wire net161; + wire net162; + wire net163; + wire net164; + wire net165; + wire net166; + wire net167; + wire net168; + wire net169; + wire net170; + wire net171; + wire net172; + wire net173; + wire net174; + wire net175; + wire net176; + wire net177; + wire net178; + wire net179; + wire net180; + wire net181; + wire net182; + wire net183; + wire net184; + wire net185; + wire net186; + wire net187; + wire net188; + wire net189; + wire net190; + wire net191; + wire net192; + wire net193; + wire net194; + wire net195; + wire net196; + wire net197; + wire net198; + wire net199; + wire net200; + wire net201; + wire net202; + wire net203; + wire net204; + wire net205; + wire net206; + wire net207; + wire net208; + wire net209; + wire net210; + wire net211; + wire net212; + wire net213; + wire net214; + wire net215; + wire net216; + wire net217; + wire net218; + wire net219; + wire net220; + wire net221; + wire net222; + wire net223; + wire net224; + wire net225; + wire net226; + wire net227; + wire net228; + wire net229; + wire net230; + wire net231; + wire net232; + wire net233; + wire net234; + wire net235; + wire net236; + wire net237; + wire net238; + wire net239; + wire net240; + wire net241; + wire net242; + wire net243; + wire net244; + wire net245; + wire net246; + wire net247; + wire net248; + wire net249; + + sky130_fd_sc_hd__o221a_1 _0963_ (.A1(net76), + .A2(_0884_), + .B1(_0885_), + .B2(_0886_), + .C1(net84), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0887_)); + sky130_fd_sc_hd__o21ai_1 _0964_ (.A1(\levenshtein_ctrl.pm[0] ), + .A2(\levenshtein_ctrl.vn[0] ), + .B1(\levenshtein_ctrl.vp[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0888_)); + sky130_fd_sc_hd__o21bai_1 _0965_ (.A1(\levenshtein_ctrl.pm[0] ), + .A2(\levenshtein_ctrl.vp[0] ), + .B1_N(\levenshtein_ctrl.vn[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0889_)); + sky130_fd_sc_hd__a21o_1 _0966_ (.A1(\levenshtein_ctrl.pm[0] ), + .A2(\levenshtein_ctrl.vp[0] ), + .B1(\levenshtein_ctrl.pm[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0890_)); + sky130_fd_sc_hd__or2_1 _0967_ (.A(\levenshtein_ctrl.vn[1] ), + .B(_0890_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0891_)); + sky130_fd_sc_hd__o21ai_1 _0968_ (.A1(_0889_), + .A2(_0891_), + .B1(_0888_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0892_)); + sky130_fd_sc_hd__a21o_1 _0969_ (.A1(net79), + .A2(_0892_), + .B1(net44), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0893_)); + sky130_fd_sc_hd__o221a_1 _0970_ (.A1(net41), + .A2(_0884_), + .B1(_0887_), + .B2(_0893_), + .C1(net103), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0131_)); + sky130_fd_sc_hd__mux2_1 _0971_ (.A0(\levenshtein_ctrl.initial_vp[2] ), + .A1(\levenshtein_ctrl.vp[2] ), + .S(net31), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0894_)); + sky130_fd_sc_hd__and3_1 _0972_ (.A(\levenshtein_ctrl.initial_vp[2] ), + .B(net66), + .C(net18), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0895_)); + sky130_fd_sc_hd__a21o_1 _0973_ (.A1(_0879_), + .A2(_0894_), + .B1(_0571_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0896_)); + sky130_fd_sc_hd__o221a_1 _0974_ (.A1(net76), + .A2(_0894_), + .B1(_0895_), + .B2(_0896_), + .C1(net84), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0897_)); + sky130_fd_sc_hd__a211o_1 _0975_ (.A1(\levenshtein_ctrl.vp[1] ), + .A2(_0890_), + .B1(\levenshtein_ctrl.pm[2] ), + .C1(\levenshtein_ctrl.vn[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0898_)); + sky130_fd_sc_hd__o21bai_1 _0976_ (.A1(\levenshtein_ctrl.vp[1] ), + .A2(_0890_), + .B1_N(\levenshtein_ctrl.vn[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0899_)); + sky130_fd_sc_hd__a2bb2o_1 _0977_ (.A1_N(_0898_), + .A2_N(_0899_), + .B1(\levenshtein_ctrl.vp[1] ), + .B2(_0891_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0900_)); + sky130_fd_sc_hd__a21o_1 _0978_ (.A1(net79), + .A2(_0900_), + .B1(net44), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0901_)); + sky130_fd_sc_hd__o221a_1 _0979_ (.A1(net41), + .A2(_0894_), + .B1(_0897_), + .B2(_0901_), + .C1(net103), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0132_)); + sky130_fd_sc_hd__mux2_1 _0980_ (.A0(\levenshtein_ctrl.initial_vp[3] ), + .A1(\levenshtein_ctrl.vp[3] ), + .S(net31), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0902_)); + sky130_fd_sc_hd__and3_1 _0981_ (.A(\levenshtein_ctrl.initial_vp[3] ), + .B(net66), + .C(net18), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0903_)); + sky130_fd_sc_hd__a21o_1 _0982_ (.A1(_0879_), + .A2(_0902_), + .B1(_0571_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0904_)); + sky130_fd_sc_hd__o221a_1 _0983_ (.A1(net77), + .A2(_0902_), + .B1(_0903_), + .B2(_0904_), + .C1(net84), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0905_)); + sky130_fd_sc_hd__and2b_1 _0984_ (.A_N(\levenshtein_ctrl.pm[2] ), + .B(\levenshtein_ctrl.vp[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0906_)); + sky130_fd_sc_hd__a21o_1 _0985_ (.A1(\levenshtein_ctrl.vp[2] ), + .A2(\levenshtein_ctrl.pm[2] ), + .B1(\levenshtein_ctrl.pm[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0907_)); + sky130_fd_sc_hd__a311o_1 _0986_ (.A1(\levenshtein_ctrl.vp[1] ), + .A2(_0890_), + .A3(_0906_), + .B1(_0907_), + .C1(\levenshtein_ctrl.vn[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0908_)); + sky130_fd_sc_hd__a2111o_1 _0987_ (.A1(\levenshtein_ctrl.vp[1] ), + .A2(_0890_), + .B1(\levenshtein_ctrl.pm[2] ), + .C1(\levenshtein_ctrl.vn[2] ), + .D1(\levenshtein_ctrl.vp[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0909_)); + sky130_fd_sc_hd__nand2_1 _0988_ (.A(_0588_), + .B(_0909_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0910_)); + sky130_fd_sc_hd__a2bb2o_1 _0989_ (.A1_N(_0908_), + .A2_N(_0910_), + .B1(\levenshtein_ctrl.vp[2] ), + .B2(_0898_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0911_)); + sky130_fd_sc_hd__a21o_1 _0990_ (.A1(net78), + .A2(_0911_), + .B1(_0876_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0912_)); + sky130_fd_sc_hd__o221a_1 _0991_ (.A1(net41), + .A2(_0902_), + .B1(_0905_), + .B2(_0912_), + .C1(net103), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0133_)); + sky130_fd_sc_hd__mux2_1 _0992_ (.A0(\levenshtein_ctrl.initial_vp[4] ), + .A1(\levenshtein_ctrl.vp[4] ), + .S(net31), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0913_)); + sky130_fd_sc_hd__mux2_1 _0993_ (.A0(\levenshtein_ctrl.initial_vp[4] ), + .A1(_0913_), + .S(net12), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0914_)); + sky130_fd_sc_hd__and4bb_1 _0994_ (.A_N(\levenshtein_ctrl.pm[2] ), + .B_N(\levenshtein_ctrl.pm[3] ), + .C(\levenshtein_ctrl.vp[3] ), + .D(\levenshtein_ctrl.vp[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0915_)); + sky130_fd_sc_hd__a32o_1 _0995_ (.A1(\levenshtein_ctrl.vp[1] ), + .A2(_0890_), + .A3(_0915_), + .B1(_0907_), + .B2(\levenshtein_ctrl.vp[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0916_)); + sky130_fd_sc_hd__or3_2 _0996_ (.A(\levenshtein_ctrl.vn[4] ), + .B(\levenshtein_ctrl.pm[4] ), + .C(_0916_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0917_)); + sky130_fd_sc_hd__o21bai_1 _0997_ (.A1(\levenshtein_ctrl.vp[3] ), + .A2(_0908_), + .B1_N(\levenshtein_ctrl.vn[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0918_)); + sky130_fd_sc_hd__a2bb2o_1 _0998_ (.A1_N(_0917_), + .A2_N(_0918_), + .B1(\levenshtein_ctrl.vp[3] ), + .B2(_0908_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0919_)); + sky130_fd_sc_hd__a221o_1 _0999_ (.A1(net84), + .A2(_0914_), + .B1(_0919_), + .B2(net78), + .C1(net43), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0920_)); + sky130_fd_sc_hd__o211a_1 _1000_ (.A1(net42), + .A2(_0913_), + .B1(_0920_), + .C1(net105), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0134_)); + sky130_fd_sc_hd__mux2_1 _1001_ (.A0(\levenshtein_ctrl.initial_vp[5] ), + .A1(\levenshtein_ctrl.vp[5] ), + .S(net31), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0921_)); + sky130_fd_sc_hd__mux2_1 _1002_ (.A0(\levenshtein_ctrl.initial_vp[5] ), + .A1(_0921_), + .S(net12), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0922_)); + sky130_fd_sc_hd__a21o_1 _1003_ (.A1(\levenshtein_ctrl.vp[4] ), + .A2(\levenshtein_ctrl.pm[4] ), + .B1(\levenshtein_ctrl.pm[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0923_)); + sky130_fd_sc_hd__a311o_1 _1004_ (.A1(\levenshtein_ctrl.vp[4] ), + .A2(_0589_), + .A3(_0916_), + .B1(_0923_), + .C1(\levenshtein_ctrl.vn[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0924_)); + sky130_fd_sc_hd__o21bai_1 _1005_ (.A1(\levenshtein_ctrl.vp[4] ), + .A2(_0917_), + .B1_N(\levenshtein_ctrl.vn[4] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0925_)); + sky130_fd_sc_hd__a2bb2o_1 _1006_ (.A1_N(_0924_), + .A2_N(_0925_), + .B1(\levenshtein_ctrl.vp[4] ), + .B2(_0917_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0926_)); + sky130_fd_sc_hd__a221o_1 _1007_ (.A1(net84), + .A2(_0922_), + .B1(_0926_), + .B2(\levenshtein_ctrl.state[3] ), + .C1(net44), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0927_)); + sky130_fd_sc_hd__o211a_1 _1008_ (.A1(net42), + .A2(_0921_), + .B1(_0927_), + .C1(net105), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0135_)); + sky130_fd_sc_hd__mux2_1 _1009_ (.A0(\levenshtein_ctrl.initial_vp[6] ), + .A1(\levenshtein_ctrl.vp[6] ), + .S(net31), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0928_)); + sky130_fd_sc_hd__mux2_1 _1010_ (.A0(\levenshtein_ctrl.initial_vp[6] ), + .A1(_0928_), + .S(net12), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0929_)); + sky130_fd_sc_hd__o21bai_1 _1011_ (.A1(\levenshtein_ctrl.vp[5] ), + .A2(_0924_), + .B1_N(\levenshtein_ctrl.vn[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0930_)); + sky130_fd_sc_hd__and4bb_1 _1012_ (.A_N(\levenshtein_ctrl.pm[4] ), + .B_N(\levenshtein_ctrl.pm[5] ), + .C(\levenshtein_ctrl.vp[5] ), + .D(\levenshtein_ctrl.vp[4] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0931_)); + sky130_fd_sc_hd__a22o_1 _1013_ (.A1(\levenshtein_ctrl.vp[5] ), + .A2(_0923_), + .B1(_0931_), + .B2(_0916_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0932_)); + sky130_fd_sc_hd__or3_1 _1014_ (.A(\levenshtein_ctrl.vn[6] ), + .B(\levenshtein_ctrl.pm[6] ), + .C(_0932_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0933_)); + sky130_fd_sc_hd__a2bb2o_1 _1015_ (.A1_N(_0930_), + .A2_N(_0933_), + .B1(\levenshtein_ctrl.vp[5] ), + .B2(_0924_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0934_)); + sky130_fd_sc_hd__a221o_1 _1016_ (.A1(net84), + .A2(_0929_), + .B1(_0934_), + .B2(net78), + .C1(net43), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0935_)); + sky130_fd_sc_hd__o211a_1 _1017_ (.A1(net42), + .A2(_0928_), + .B1(_0935_), + .C1(net105), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0136_)); + sky130_fd_sc_hd__mux2_1 _1018_ (.A0(\levenshtein_ctrl.initial_vp[7] ), + .A1(\levenshtein_ctrl.vp[7] ), + .S(net31), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0936_)); + sky130_fd_sc_hd__and3_1 _1019_ (.A(\levenshtein_ctrl.initial_vp[7] ), + .B(net66), + .C(net18), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0937_)); + sky130_fd_sc_hd__a21o_1 _1020_ (.A1(_0879_), + .A2(_0936_), + .B1(_0571_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0938_)); + sky130_fd_sc_hd__o221a_1 _1021_ (.A1(net77), + .A2(_0936_), + .B1(_0937_), + .B2(_0938_), + .C1(net84), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0939_)); + sky130_fd_sc_hd__o31ai_1 _1022_ (.A1(\levenshtein_ctrl.vp[6] ), + .A2(\levenshtein_ctrl.pm[6] ), + .A3(_0932_), + .B1(_0590_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0940_)); + sky130_fd_sc_hd__a21o_1 _1023_ (.A1(\levenshtein_ctrl.vp[6] ), + .A2(\levenshtein_ctrl.pm[6] ), + .B1(\levenshtein_ctrl.pm[7] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0941_)); + sky130_fd_sc_hd__a211o_1 _1024_ (.A1(\levenshtein_ctrl.vp[6] ), + .A2(_0932_), + .B1(_0941_), + .C1(\levenshtein_ctrl.vn[7] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0942_)); + sky130_fd_sc_hd__a2bb2o_1 _1025_ (.A1_N(net32), + .A2_N(_0942_), + .B1(\levenshtein_ctrl.vp[6] ), + .B2(_0933_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0943_)); + sky130_fd_sc_hd__a21o_1 _1026_ (.A1(net78), + .A2(_0943_), + .B1(net43), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0944_)); + sky130_fd_sc_hd__o221a_1 _1027_ (.A1(net42), + .A2(_0936_), + .B1(_0939_), + .B2(_0944_), + .C1(net105), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0137_)); + sky130_fd_sc_hd__mux2_1 _1028_ (.A0(\levenshtein_ctrl.initial_vp[8] ), + .A1(\levenshtein_ctrl.vp[8] ), + .S(net30), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0945_)); + sky130_fd_sc_hd__and3_1 _1029_ (.A(\levenshtein_ctrl.initial_vp[8] ), + .B(net66), + .C(net18), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0946_)); + sky130_fd_sc_hd__a21o_1 _1030_ (.A1(_0879_), + .A2(_0945_), + .B1(_0571_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0947_)); + sky130_fd_sc_hd__o221a_1 _1031_ (.A1(net77), + .A2(_0945_), + .B1(_0946_), + .B2(_0947_), + .C1(net84), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0948_)); + sky130_fd_sc_hd__and4bb_1 _1032_ (.A_N(\levenshtein_ctrl.pm[6] ), + .B_N(\levenshtein_ctrl.pm[7] ), + .C(\levenshtein_ctrl.vp[7] ), + .D(\levenshtein_ctrl.vp[6] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0949_)); + sky130_fd_sc_hd__a32o_1 _1033_ (.A1(\levenshtein_ctrl.vp[5] ), + .A2(_0923_), + .A3(_0949_), + .B1(_0941_), + .B2(\levenshtein_ctrl.vp[7] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0950_)); + sky130_fd_sc_hd__a31o_1 _1034_ (.A1(_0916_), + .A2(_0931_), + .A3(_0949_), + .B1(_0950_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0951_)); + sky130_fd_sc_hd__or3_1 _1035_ (.A(\levenshtein_ctrl.vn[8] ), + .B(\levenshtein_ctrl.pm[8] ), + .C(_0951_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0952_)); + sky130_fd_sc_hd__o21bai_1 _1036_ (.A1(\levenshtein_ctrl.vp[7] ), + .A2(_0942_), + .B1_N(\levenshtein_ctrl.vn[7] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0953_)); + sky130_fd_sc_hd__a2bb2o_1 _1037_ (.A1_N(_0952_), + .A2_N(_0953_), + .B1(\levenshtein_ctrl.vp[7] ), + .B2(_0942_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0954_)); + sky130_fd_sc_hd__a211o_1 _1038_ (.A1(net78), + .A2(_0954_), + .B1(_0948_), + .C1(net43), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0955_)); + sky130_fd_sc_hd__o211a_1 _1039_ (.A1(net42), + .A2(_0945_), + .B1(_0955_), + .C1(net101), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0138_)); + sky130_fd_sc_hd__o21bai_1 _1040_ (.A1(\levenshtein_ctrl.vp[8] ), + .A2(_0952_), + .B1_N(\levenshtein_ctrl.vn[8] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0956_)); + sky130_fd_sc_hd__a21o_1 _1041_ (.A1(\levenshtein_ctrl.vp[8] ), + .A2(\levenshtein_ctrl.pm[8] ), + .B1(\levenshtein_ctrl.pm[9] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0957_)); + sky130_fd_sc_hd__a211o_1 _1042_ (.A1(\levenshtein_ctrl.vp[8] ), + .A2(_0951_), + .B1(_0957_), + .C1(\levenshtein_ctrl.vn[9] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0958_)); + sky130_fd_sc_hd__a2bb2o_1 _1043_ (.A1_N(_0956_), + .A2_N(_0958_), + .B1(\levenshtein_ctrl.vp[8] ), + .B2(_0952_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0959_)); + sky130_fd_sc_hd__mux2_1 _1044_ (.A0(\levenshtein_ctrl.initial_vp[9] ), + .A1(\levenshtein_ctrl.vp[9] ), + .S(net30), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0960_)); + sky130_fd_sc_hd__or2_1 _1045_ (.A(net13), + .B(_0960_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0961_)); + sky130_fd_sc_hd__o211a_1 _1046_ (.A1(\levenshtein_ctrl.initial_vp[9] ), + .A2(net12), + .B1(_0961_), + .C1(net83), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0962_)); + sky130_fd_sc_hd__a211o_1 _1047_ (.A1(net78), + .A2(_0959_), + .B1(_0962_), + .C1(net43), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0232_)); + sky130_fd_sc_hd__o211a_1 _1048_ (.A1(net41), + .A2(_0960_), + .B1(_0232_), + .C1(net100), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0139_)); + sky130_fd_sc_hd__o21bai_1 _1049_ (.A1(\levenshtein_ctrl.vp[9] ), + .A2(_0958_), + .B1_N(\levenshtein_ctrl.vn[9] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0233_)); + sky130_fd_sc_hd__and2_1 _1050_ (.A(\levenshtein_ctrl.vp[9] ), + .B(_0957_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0234_)); + sky130_fd_sc_hd__and4bb_1 _1051_ (.A_N(\levenshtein_ctrl.pm[8] ), + .B_N(\levenshtein_ctrl.pm[9] ), + .C(\levenshtein_ctrl.vp[9] ), + .D(\levenshtein_ctrl.vp[8] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0235_)); + sky130_fd_sc_hd__a2111o_1 _1052_ (.A1(_0951_), + .A2(_0235_), + .B1(_0234_), + .C1(\levenshtein_ctrl.vn[10] ), + .D1(\levenshtein_ctrl.pm[10] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0236_)); + sky130_fd_sc_hd__a2bb2o_1 _1053_ (.A1_N(_0233_), + .A2_N(_0236_), + .B1(\levenshtein_ctrl.vp[9] ), + .B2(_0958_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0237_)); + sky130_fd_sc_hd__mux2_1 _1054_ (.A0(\levenshtein_ctrl.initial_vp[10] ), + .A1(\levenshtein_ctrl.vp[10] ), + .S(net30), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0238_)); + sky130_fd_sc_hd__and3_1 _1055_ (.A(\levenshtein_ctrl.initial_vp[10] ), + .B(net66), + .C(net18), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0239_)); + sky130_fd_sc_hd__a21o_1 _1056_ (.A1(_0879_), + .A2(_0238_), + .B1(_0571_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0240_)); + sky130_fd_sc_hd__o221a_1 _1057_ (.A1(net77), + .A2(_0238_), + .B1(_0239_), + .B2(_0240_), + .C1(net83), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0241_)); + sky130_fd_sc_hd__a211o_1 _1058_ (.A1(net78), + .A2(_0237_), + .B1(_0241_), + .C1(net43), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0242_)); + sky130_fd_sc_hd__o211a_1 _1059_ (.A1(net41), + .A2(_0238_), + .B1(_0242_), + .C1(net101), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0140_)); + sky130_fd_sc_hd__o21bai_1 _1060_ (.A1(\levenshtein_ctrl.vp[10] ), + .A2(_0236_), + .B1_N(\levenshtein_ctrl.vn[10] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0243_)); + sky130_fd_sc_hd__or2_1 _1061_ (.A(\levenshtein_ctrl.vp[10] ), + .B(\levenshtein_ctrl.pm[11] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0244_)); + sky130_fd_sc_hd__a2111o_2 _1062_ (.A1(_0951_), + .A2(_0235_), + .B1(_0234_), + .C1(\levenshtein_ctrl.pm[10] ), + .D1(\levenshtein_ctrl.pm[11] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0245_)); + sky130_fd_sc_hd__a21o_1 _1063_ (.A1(_0244_), + .A2(_0245_), + .B1(\levenshtein_ctrl.vn[11] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0246_)); + sky130_fd_sc_hd__a2bb2o_1 _1064_ (.A1_N(_0243_), + .A2_N(_0246_), + .B1(\levenshtein_ctrl.vp[10] ), + .B2(_0236_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0247_)); + sky130_fd_sc_hd__mux2_1 _1065_ (.A0(\levenshtein_ctrl.initial_vp[11] ), + .A1(\levenshtein_ctrl.vp[11] ), + .S(net30), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0248_)); + sky130_fd_sc_hd__and3_1 _1066_ (.A(\levenshtein_ctrl.initial_vp[11] ), + .B(net66), + .C(net18), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0249_)); + sky130_fd_sc_hd__a21o_1 _1067_ (.A1(_0879_), + .A2(_0248_), + .B1(_0571_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0250_)); + sky130_fd_sc_hd__o221a_1 _1068_ (.A1(net77), + .A2(_0248_), + .B1(_0249_), + .B2(_0250_), + .C1(net83), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0251_)); + sky130_fd_sc_hd__a211o_1 _1069_ (.A1(net78), + .A2(_0247_), + .B1(_0251_), + .C1(net43), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0252_)); + sky130_fd_sc_hd__o211a_1 _1070_ (.A1(net42), + .A2(_0248_), + .B1(_0252_), + .C1(net101), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0141_)); + sky130_fd_sc_hd__nand2_1 _1071_ (.A(\levenshtein_ctrl.vp[11] ), + .B(_0246_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0253_)); + sky130_fd_sc_hd__a21oi_2 _1072_ (.A1(_0244_), + .A2(_0245_), + .B1(\levenshtein_ctrl.vp[11] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0254_)); + sky130_fd_sc_hd__and2_1 _1073_ (.A(\levenshtein_ctrl.vp[11] ), + .B(_0244_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0255_)); + sky130_fd_sc_hd__a211o_1 _1074_ (.A1(_0245_), + .A2(_0255_), + .B1(\levenshtein_ctrl.vn[12] ), + .C1(\levenshtein_ctrl.pm[12] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0256_)); + sky130_fd_sc_hd__a21oi_1 _1075_ (.A1(_0253_), + .A2(_0256_), + .B1(_0254_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0257_)); + sky130_fd_sc_hd__o211a_1 _1076_ (.A1(\levenshtein_ctrl.vp[11] ), + .A2(_0591_), + .B1(net63), + .C1(_0257_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0258_)); + sky130_fd_sc_hd__mux2_1 _1077_ (.A0(\levenshtein_ctrl.initial_vp[12] ), + .A1(\levenshtein_ctrl.vp[12] ), + .S(net30), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0259_)); + sky130_fd_sc_hd__and2_1 _1078_ (.A(net43), + .B(_0259_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0260_)); + sky130_fd_sc_hd__or2_1 _1079_ (.A(net13), + .B(_0259_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0261_)); + sky130_fd_sc_hd__o211a_1 _1080_ (.A1(\levenshtein_ctrl.initial_vp[12] ), + .A2(_0881_), + .B1(_0261_), + .C1(_0875_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0262_)); + sky130_fd_sc_hd__o31a_1 _1081_ (.A1(_0258_), + .A2(_0260_), + .A3(_0262_), + .B1(net99), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0142_)); + sky130_fd_sc_hd__o21bai_1 _1082_ (.A1(\levenshtein_ctrl.vp[12] ), + .A2(_0256_), + .B1_N(\levenshtein_ctrl.vn[12] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0263_)); + sky130_fd_sc_hd__a21o_1 _1083_ (.A1(\levenshtein_ctrl.vp[12] ), + .A2(\levenshtein_ctrl.pm[12] ), + .B1(\levenshtein_ctrl.pm[13] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0264_)); + sky130_fd_sc_hd__a311o_1 _1084_ (.A1(\levenshtein_ctrl.vp[12] ), + .A2(_0245_), + .A3(_0255_), + .B1(_0264_), + .C1(\levenshtein_ctrl.vn[13] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0265_)); + sky130_fd_sc_hd__a2bb2o_1 _1085_ (.A1_N(_0263_), + .A2_N(_0265_), + .B1(\levenshtein_ctrl.vp[12] ), + .B2(_0256_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0266_)); + sky130_fd_sc_hd__mux2_1 _1086_ (.A0(\levenshtein_ctrl.initial_vp[13] ), + .A1(\levenshtein_ctrl.vp[13] ), + .S(net30), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0267_)); + sky130_fd_sc_hd__or2_1 _1087_ (.A(net13), + .B(_0267_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0268_)); + sky130_fd_sc_hd__o211a_1 _1088_ (.A1(\levenshtein_ctrl.initial_vp[13] ), + .A2(_0881_), + .B1(_0268_), + .C1(net83), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0269_)); + sky130_fd_sc_hd__a21o_1 _1089_ (.A1(net78), + .A2(_0266_), + .B1(net43), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0270_)); + sky130_fd_sc_hd__o221a_1 _1090_ (.A1(net42), + .A2(_0267_), + .B1(_0269_), + .B2(_0270_), + .C1(net99), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0143_)); + sky130_fd_sc_hd__and4bb_1 _1091_ (.A_N(\levenshtein_ctrl.pm[12] ), + .B_N(\levenshtein_ctrl.pm[13] ), + .C(\levenshtein_ctrl.vp[13] ), + .D(\levenshtein_ctrl.vp[12] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0271_)); + sky130_fd_sc_hd__a21o_1 _1092_ (.A1(\levenshtein_ctrl.vp[13] ), + .A2(_0264_), + .B1(\levenshtein_ctrl.pm[14] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0272_)); + sky130_fd_sc_hd__a31o_1 _1093_ (.A1(_0245_), + .A2(_0255_), + .A3(_0271_), + .B1(_0272_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0273_)); + sky130_fd_sc_hd__or2_1 _1094_ (.A(\levenshtein_ctrl.vn[14] ), + .B(_0273_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0274_)); + sky130_fd_sc_hd__a311o_1 _1095_ (.A1(\levenshtein_ctrl.vp[12] ), + .A2(_0245_), + .A3(_0255_), + .B1(_0264_), + .C1(\levenshtein_ctrl.vp[13] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0275_)); + sky130_fd_sc_hd__nand2b_1 _1096_ (.A_N(\levenshtein_ctrl.vn[13] ), + .B(_0275_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0276_)); + sky130_fd_sc_hd__a2bb2o_1 _1097_ (.A1_N(_0274_), + .A2_N(_0276_), + .B1(\levenshtein_ctrl.vp[13] ), + .B2(_0265_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0277_)); + sky130_fd_sc_hd__mux2_1 _1098_ (.A0(\levenshtein_ctrl.initial_vp[14] ), + .A1(\levenshtein_ctrl.vp[14] ), + .S(net29), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0278_)); + sky130_fd_sc_hd__nand2_1 _1099_ (.A(_0677_), + .B(net18), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0279_)); + sky130_fd_sc_hd__and4_2 _1100_ (.A(\levenshtein_ctrl.enabled ), + .B(net66), + .C(_0676_), + .D(net18), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0280_)); + sky130_fd_sc_hd__a22o_1 _1101_ (.A1(net62), + .A2(_0277_), + .B1(_0280_), + .B2(\levenshtein_ctrl.initial_vp[14] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0281_)); + sky130_fd_sc_hd__a21oi_1 _1102_ (.A1(_0882_), + .A2(_0278_), + .B1(_0281_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0282_)); + sky130_fd_sc_hd__nor2_1 _1103_ (.A(net87), + .B(_0282_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0144_)); + sky130_fd_sc_hd__a211o_1 _1104_ (.A1(\levenshtein_ctrl.vp[14] ), + .A2(_0273_), + .B1(\levenshtein_ctrl.pm[15] ), + .C1(\levenshtein_ctrl.vn[15] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0283_)); + sky130_fd_sc_hd__o21bai_1 _1105_ (.A1(\levenshtein_ctrl.vp[14] ), + .A2(_0273_), + .B1_N(\levenshtein_ctrl.vn[14] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0284_)); + sky130_fd_sc_hd__a2bb2o_1 _1106_ (.A1_N(_0283_), + .A2_N(_0284_), + .B1(\levenshtein_ctrl.vp[14] ), + .B2(_0274_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0285_)); + sky130_fd_sc_hd__mux2_1 _1107_ (.A0(\levenshtein_ctrl.initial_vp[15] ), + .A1(\levenshtein_ctrl.vp[15] ), + .S(net30), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0286_)); + sky130_fd_sc_hd__a22o_1 _1108_ (.A1(\levenshtein_ctrl.initial_vp[15] ), + .A2(_0280_), + .B1(_0285_), + .B2(net63), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0287_)); + sky130_fd_sc_hd__a21oi_1 _1109_ (.A1(_0882_), + .A2(_0286_), + .B1(_0287_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0288_)); + sky130_fd_sc_hd__nor2_1 _1110_ (.A(net87), + .B(_0288_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0145_)); + sky130_fd_sc_hd__o21a_1 _1111_ (.A1(\levenshtein_ctrl.pm[0] ), + .A2(\levenshtein_ctrl.vn[0] ), + .B1(net62), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0289_)); + sky130_fd_sc_hd__o211a_1 _1112_ (.A1(net44), + .A2(net12), + .B1(\levenshtein_ctrl.vn[0] ), + .C1(net31), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0290_)); + sky130_fd_sc_hd__o21a_1 _1113_ (.A1(_0289_), + .A2(_0290_), + .B1(net94), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0146_)); + sky130_fd_sc_hd__and2_1 _1114_ (.A(net29), + .B(_0882_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0291_)); + sky130_fd_sc_hd__a32o_1 _1115_ (.A1(net62), + .A2(_0889_), + .A3(_0891_), + .B1(net7), + .B2(\levenshtein_ctrl.vn[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0292_)); + sky130_fd_sc_hd__and2_1 _1116_ (.A(net94), + .B(_0292_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0147_)); + sky130_fd_sc_hd__a32o_1 _1117_ (.A1(net62), + .A2(_0898_), + .A3(_0899_), + .B1(net7), + .B2(\levenshtein_ctrl.vn[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0293_)); + sky130_fd_sc_hd__and2_1 _1118_ (.A(net103), + .B(_0293_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0148_)); + sky130_fd_sc_hd__a32o_1 _1119_ (.A1(net62), + .A2(_0908_), + .A3(_0910_), + .B1(net7), + .B2(\levenshtein_ctrl.vn[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0294_)); + sky130_fd_sc_hd__and2_1 _1120_ (.A(net103), + .B(_0294_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0149_)); + sky130_fd_sc_hd__a32o_1 _1121_ (.A1(net63), + .A2(_0917_), + .A3(_0918_), + .B1(net8), + .B2(\levenshtein_ctrl.vn[4] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0295_)); + sky130_fd_sc_hd__and2_1 _1122_ (.A(net105), + .B(_0295_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0150_)); + sky130_fd_sc_hd__a32o_1 _1123_ (.A1(net64), + .A2(_0924_), + .A3(_0925_), + .B1(net8), + .B2(\levenshtein_ctrl.vn[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0296_)); + sky130_fd_sc_hd__and2_1 _1124_ (.A(net105), + .B(_0296_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0151_)); + sky130_fd_sc_hd__a32o_1 _1125_ (.A1(net64), + .A2(_0930_), + .A3(_0933_), + .B1(net8), + .B2(\levenshtein_ctrl.vn[6] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0297_)); + sky130_fd_sc_hd__and2_1 _1126_ (.A(net108), + .B(_0297_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0152_)); + sky130_fd_sc_hd__a32o_1 _1127_ (.A1(net64), + .A2(net32), + .A3(_0942_), + .B1(net8), + .B2(\levenshtein_ctrl.vn[7] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0298_)); + sky130_fd_sc_hd__and2_1 _1128_ (.A(net108), + .B(_0298_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0153_)); + sky130_fd_sc_hd__a32o_1 _1129_ (.A1(net63), + .A2(_0952_), + .A3(_0953_), + .B1(net8), + .B2(\levenshtein_ctrl.vn[8] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0299_)); + sky130_fd_sc_hd__and2_1 _1130_ (.A(net101), + .B(_0299_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0154_)); + sky130_fd_sc_hd__a32o_1 _1131_ (.A1(net63), + .A2(_0956_), + .A3(_0958_), + .B1(net7), + .B2(\levenshtein_ctrl.vn[9] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0300_)); + sky130_fd_sc_hd__and2_1 _1132_ (.A(net101), + .B(_0300_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0155_)); + sky130_fd_sc_hd__a32o_1 _1133_ (.A1(net63), + .A2(_0233_), + .A3(_0236_), + .B1(net7), + .B2(\levenshtein_ctrl.vn[10] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0301_)); + sky130_fd_sc_hd__and2_1 _1134_ (.A(net101), + .B(_0301_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0156_)); + sky130_fd_sc_hd__a32o_1 _1135_ (.A1(net63), + .A2(_0243_), + .A3(_0246_), + .B1(net7), + .B2(\levenshtein_ctrl.vn[11] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0302_)); + sky130_fd_sc_hd__and2_1 _1136_ (.A(net99), + .B(_0302_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0157_)); + sky130_fd_sc_hd__or2_1 _1137_ (.A(\levenshtein_ctrl.vn[11] ), + .B(_0254_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0303_)); + sky130_fd_sc_hd__a32o_1 _1138_ (.A1(net63), + .A2(_0256_), + .A3(_0303_), + .B1(net7), + .B2(\levenshtein_ctrl.vn[12] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0304_)); + sky130_fd_sc_hd__and2_1 _1139_ (.A(net102), + .B(_0304_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0158_)); + sky130_fd_sc_hd__a32o_1 _1140_ (.A1(net63), + .A2(_0263_), + .A3(_0265_), + .B1(net7), + .B2(\levenshtein_ctrl.vn[13] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0305_)); + sky130_fd_sc_hd__and2_1 _1141_ (.A(net102), + .B(_0305_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0159_)); + sky130_fd_sc_hd__a32o_1 _1142_ (.A1(net78), + .A2(_0274_), + .A3(_0276_), + .B1(net7), + .B2(\levenshtein_ctrl.vn[14] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0306_)); + sky130_fd_sc_hd__a21o_1 _1143_ (.A1(\levenshtein_ctrl.vn[14] ), + .A2(net30), + .B1(\levenshtein_ctrl.enabled ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0307_)); + sky130_fd_sc_hd__and3_1 _1144_ (.A(net102), + .B(_0306_), + .C(_0307_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0160_)); + sky130_fd_sc_hd__a32o_1 _1145_ (.A1(net63), + .A2(_0283_), + .A3(_0284_), + .B1(net7), + .B2(\levenshtein_ctrl.vn[15] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0308_)); + sky130_fd_sc_hd__and2_1 _1146_ (.A(net99), + .B(_0308_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0161_)); + sky130_fd_sc_hd__mux2_1 _1147_ (.A0(\levenshtein_ctrl.word_length[0] ), + .A1(\levenshtein_ctrl.d[0] ), + .S(net29), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0309_)); + sky130_fd_sc_hd__mux2_1 _1148_ (.A0(\levenshtein_ctrl.word_length[0] ), + .A1(_0309_), + .S(net12), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0310_)); + sky130_fd_sc_hd__o21bai_1 _1149_ (.A1(\levenshtein_ctrl.vp[15] ), + .A2(_0283_), + .B1_N(\levenshtein_ctrl.vn[15] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0311_)); + sky130_fd_sc_hd__a22o_1 _1150_ (.A1(\levenshtein_ctrl.mask[0] ), + .A2(_0889_), + .B1(_0899_), + .B2(\levenshtein_ctrl.mask[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0312_)); + sky130_fd_sc_hd__a21boi_1 _1151_ (.A1(_0588_), + .A2(_0909_), + .B1_N(\levenshtein_ctrl.mask[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0313_)); + sky130_fd_sc_hd__a211o_1 _1152_ (.A1(\levenshtein_ctrl.mask[3] ), + .A2(_0918_), + .B1(_0312_), + .C1(_0313_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0314_)); + sky130_fd_sc_hd__a221o_1 _1153_ (.A1(\levenshtein_ctrl.mask[4] ), + .A2(_0925_), + .B1(_0930_), + .B2(\levenshtein_ctrl.mask[5] ), + .C1(_0314_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0315_)); + sky130_fd_sc_hd__a221o_1 _1154_ (.A1(\levenshtein_ctrl.mask[6] ), + .A2(net249), + .B1(_0953_), + .B2(\levenshtein_ctrl.mask[7] ), + .C1(_0315_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0316_)); + sky130_fd_sc_hd__a22o_1 _1155_ (.A1(\levenshtein_ctrl.mask[8] ), + .A2(_0956_), + .B1(_0233_), + .B2(\levenshtein_ctrl.mask[9] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0317_)); + sky130_fd_sc_hd__a211o_1 _1156_ (.A1(\levenshtein_ctrl.mask[10] ), + .A2(_0243_), + .B1(_0316_), + .C1(_0317_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0318_)); + sky130_fd_sc_hd__a22o_1 _1157_ (.A1(\levenshtein_ctrl.mask[12] ), + .A2(_0263_), + .B1(_0303_), + .B2(\levenshtein_ctrl.mask[11] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0319_)); + sky130_fd_sc_hd__a211o_1 _1158_ (.A1(\levenshtein_ctrl.mask[13] ), + .A2(_0276_), + .B1(_0318_), + .C1(_0319_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0320_)); + sky130_fd_sc_hd__a221o_2 _1159_ (.A1(\levenshtein_ctrl.mask[14] ), + .A2(_0284_), + .B1(_0311_), + .B2(\levenshtein_ctrl.mask[15] ), + .C1(_0320_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0321_)); + sky130_fd_sc_hd__inv_2 _1160_ (.A(_0321_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0322_)); + sky130_fd_sc_hd__and3_1 _1161_ (.A(\levenshtein_ctrl.mask[13] ), + .B(\levenshtein_ctrl.vp[13] ), + .C(_0265_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0323_)); + sky130_fd_sc_hd__and3_1 _1162_ (.A(\levenshtein_ctrl.mask[11] ), + .B(\levenshtein_ctrl.vp[11] ), + .C(_0246_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0324_)); + sky130_fd_sc_hd__and3_1 _1163_ (.A(\levenshtein_ctrl.mask[8] ), + .B(\levenshtein_ctrl.vp[8] ), + .C(_0952_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0325_)); + sky130_fd_sc_hd__and3_1 _1164_ (.A(\levenshtein_ctrl.mask[7] ), + .B(\levenshtein_ctrl.vp[7] ), + .C(_0942_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0326_)); + sky130_fd_sc_hd__and3_1 _1165_ (.A(\levenshtein_ctrl.mask[6] ), + .B(\levenshtein_ctrl.vp[6] ), + .C(_0933_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0327_)); + sky130_fd_sc_hd__and3_1 _1166_ (.A(\levenshtein_ctrl.mask[2] ), + .B(\levenshtein_ctrl.vp[2] ), + .C(_0898_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0328_)); + sky130_fd_sc_hd__o211a_1 _1167_ (.A1(\levenshtein_ctrl.pm[0] ), + .A2(\levenshtein_ctrl.vn[0] ), + .B1(\levenshtein_ctrl.vp[0] ), + .C1(\levenshtein_ctrl.mask[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0329_)); + sky130_fd_sc_hd__a31o_1 _1168_ (.A1(\levenshtein_ctrl.mask[1] ), + .A2(\levenshtein_ctrl.vp[1] ), + .A3(_0891_), + .B1(_0329_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0330_)); + sky130_fd_sc_hd__a311o_1 _1169_ (.A1(\levenshtein_ctrl.mask[3] ), + .A2(\levenshtein_ctrl.vp[3] ), + .A3(_0908_), + .B1(_0328_), + .C1(_0330_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0331_)); + sky130_fd_sc_hd__and3_1 _1170_ (.A(\levenshtein_ctrl.mask[5] ), + .B(\levenshtein_ctrl.vp[5] ), + .C(_0924_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0332_)); + sky130_fd_sc_hd__a311o_1 _1171_ (.A1(\levenshtein_ctrl.mask[4] ), + .A2(\levenshtein_ctrl.vp[4] ), + .A3(_0917_), + .B1(_0331_), + .C1(_0332_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0333_)); + sky130_fd_sc_hd__or4_1 _1172_ (.A(_0325_), + .B(_0326_), + .C(_0327_), + .D(_0333_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0334_)); + sky130_fd_sc_hd__a31o_1 _1173_ (.A1(\levenshtein_ctrl.mask[9] ), + .A2(\levenshtein_ctrl.vp[9] ), + .A3(_0958_), + .B1(_0334_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0335_)); + sky130_fd_sc_hd__and3_1 _1174_ (.A(\levenshtein_ctrl.mask[10] ), + .B(\levenshtein_ctrl.vp[10] ), + .C(_0236_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0336_)); + sky130_fd_sc_hd__a31o_1 _1175_ (.A1(\levenshtein_ctrl.mask[12] ), + .A2(\levenshtein_ctrl.vp[12] ), + .A3(_0256_), + .B1(_0336_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0337_)); + sky130_fd_sc_hd__a311o_1 _1176_ (.A1(\levenshtein_ctrl.mask[14] ), + .A2(\levenshtein_ctrl.vp[14] ), + .A3(_0274_), + .B1(_0323_), + .C1(_0324_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0338_)); + sky130_fd_sc_hd__or3_1 _1177_ (.A(_0335_), + .B(_0337_), + .C(_0338_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0339_)); + sky130_fd_sc_hd__a31oi_4 _1178_ (.A1(\levenshtein_ctrl.mask[15] ), + .A2(\levenshtein_ctrl.vp[15] ), + .A3(_0283_), + .B1(_0339_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0340_)); + sky130_fd_sc_hd__nand2b_2 _1179_ (.A_N(_0321_), + .B(_0340_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0341_)); + sky130_fd_sc_hd__mux2_1 _1180_ (.A0(_0309_), + .A1(_0592_), + .S(_0341_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0342_)); + sky130_fd_sc_hd__a221o_1 _1181_ (.A1(net82), + .A2(_0310_), + .B1(_0342_), + .B2(net79), + .C1(net44), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0343_)); + sky130_fd_sc_hd__o211a_1 _1182_ (.A1(net41), + .A2(_0309_), + .B1(_0343_), + .C1(net90), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0162_)); + sky130_fd_sc_hd__mux2_1 _1183_ (.A0(\levenshtein_ctrl.word_length[1] ), + .A1(\levenshtein_ctrl.d[1] ), + .S(net29), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0344_)); + sky130_fd_sc_hd__or2_1 _1184_ (.A(\levenshtein_ctrl.d[0] ), + .B(\levenshtein_ctrl.d[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0345_)); + sky130_fd_sc_hd__nand2_1 _1185_ (.A(\levenshtein_ctrl.d[0] ), + .B(\levenshtein_ctrl.d[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0346_)); + sky130_fd_sc_hd__and2_1 _1186_ (.A(_0345_), + .B(_0346_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0347_)); + sky130_fd_sc_hd__nor2_2 _1187_ (.A(_0321_), + .B(_0340_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0348_)); + sky130_fd_sc_hd__nand2_1 _1188_ (.A(_0347_), + .B(_0348_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0349_)); + sky130_fd_sc_hd__o221a_1 _1189_ (.A1(_0341_), + .A2(_0344_), + .B1(_0347_), + .B2(_0322_), + .C1(net79), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0350_)); + sky130_fd_sc_hd__mux2_1 _1190_ (.A0(\levenshtein_ctrl.word_length[1] ), + .A1(_0344_), + .S(net12), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0351_)); + sky130_fd_sc_hd__a221o_1 _1191_ (.A1(_0349_), + .A2(_0350_), + .B1(_0351_), + .B2(net82), + .C1(net44), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0352_)); + sky130_fd_sc_hd__o211a_1 _1192_ (.A1(net41), + .A2(_0344_), + .B1(_0352_), + .C1(net90), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0163_)); + sky130_fd_sc_hd__mux2_1 _1193_ (.A0(\levenshtein_ctrl.word_length[2] ), + .A1(\levenshtein_ctrl.d[2] ), + .S(net29), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0353_)); + sky130_fd_sc_hd__a21oi_1 _1194_ (.A1(\levenshtein_ctrl.d[0] ), + .A2(\levenshtein_ctrl.d[1] ), + .B1(\levenshtein_ctrl.d[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0354_)); + sky130_fd_sc_hd__and3_1 _1195_ (.A(\levenshtein_ctrl.d[0] ), + .B(\levenshtein_ctrl.d[1] ), + .C(\levenshtein_ctrl.d[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0355_)); + sky130_fd_sc_hd__nor2_1 _1196_ (.A(_0354_), + .B(_0355_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0356_)); + sky130_fd_sc_hd__or2_1 _1197_ (.A(\levenshtein_ctrl.d[2] ), + .B(_0345_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0357_)); + sky130_fd_sc_hd__nand2_1 _1198_ (.A(\levenshtein_ctrl.d[2] ), + .B(_0345_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0358_)); + sky130_fd_sc_hd__nand3_1 _1199_ (.A(_0348_), + .B(_0357_), + .C(_0358_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0359_)); + sky130_fd_sc_hd__o221a_1 _1200_ (.A1(_0341_), + .A2(_0353_), + .B1(_0356_), + .B2(_0322_), + .C1(net79), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0360_)); + sky130_fd_sc_hd__or2_1 _1201_ (.A(_0880_), + .B(_0353_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0361_)); + sky130_fd_sc_hd__o211a_1 _1202_ (.A1(\levenshtein_ctrl.word_length[2] ), + .A2(net12), + .B1(_0361_), + .C1(net83), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0362_)); + sky130_fd_sc_hd__a211o_1 _1203_ (.A1(_0359_), + .A2(_0360_), + .B1(_0362_), + .C1(net44), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0363_)); + sky130_fd_sc_hd__o211a_1 _1204_ (.A1(net41), + .A2(_0353_), + .B1(_0363_), + .C1(net97), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0164_)); + sky130_fd_sc_hd__mux2_1 _1205_ (.A0(\levenshtein_ctrl.word_length[3] ), + .A1(\levenshtein_ctrl.d[3] ), + .S(net29), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0364_)); + sky130_fd_sc_hd__or2_1 _1206_ (.A(\levenshtein_ctrl.d[3] ), + .B(_0357_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0365_)); + sky130_fd_sc_hd__nand2_1 _1207_ (.A(\levenshtein_ctrl.d[3] ), + .B(_0357_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0366_)); + sky130_fd_sc_hd__nand3_1 _1208_ (.A(_0348_), + .B(_0365_), + .C(_0366_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0367_)); + sky130_fd_sc_hd__and2_1 _1209_ (.A(\levenshtein_ctrl.d[3] ), + .B(_0355_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0368_)); + sky130_fd_sc_hd__nor2_1 _1210_ (.A(\levenshtein_ctrl.d[3] ), + .B(_0355_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0369_)); + sky130_fd_sc_hd__o21ai_1 _1211_ (.A1(_0368_), + .A2(_0369_), + .B1(_0321_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0370_)); + sky130_fd_sc_hd__o2111a_1 _1212_ (.A1(_0341_), + .A2(_0364_), + .B1(_0367_), + .C1(_0370_), + .D1(net79), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0371_)); + sky130_fd_sc_hd__mux2_1 _1213_ (.A0(\levenshtein_ctrl.word_length[3] ), + .A1(_0364_), + .S(net12), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0372_)); + sky130_fd_sc_hd__a21o_1 _1214_ (.A1(net83), + .A2(_0372_), + .B1(net44), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0373_)); + sky130_fd_sc_hd__o221a_1 _1215_ (.A1(net41), + .A2(_0364_), + .B1(_0371_), + .B2(_0373_), + .C1(net97), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0165_)); + sky130_fd_sc_hd__mux2_1 _1216_ (.A0(\levenshtein_ctrl.word_length[4] ), + .A1(net75), + .S(net29), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0374_)); + sky130_fd_sc_hd__nor2_1 _1217_ (.A(net75), + .B(_0365_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0375_)); + sky130_fd_sc_hd__and2_1 _1218_ (.A(net75), + .B(_0365_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0376_)); + sky130_fd_sc_hd__or4_1 _1219_ (.A(_0321_), + .B(_0340_), + .C(_0375_), + .D(_0376_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0377_)); + sky130_fd_sc_hd__xor2_1 _1220_ (.A(net75), + .B(_0368_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0378_)); + sky130_fd_sc_hd__o221a_1 _1221_ (.A1(_0341_), + .A2(_0374_), + .B1(_0378_), + .B2(_0322_), + .C1(net79), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0379_)); + sky130_fd_sc_hd__mux2_1 _1222_ (.A0(\levenshtein_ctrl.word_length[4] ), + .A1(_0374_), + .S(net12), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0380_)); + sky130_fd_sc_hd__a221o_1 _1223_ (.A1(_0377_), + .A2(_0379_), + .B1(_0380_), + .B2(net83), + .C1(net44), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0381_)); + sky130_fd_sc_hd__o211a_1 _1224_ (.A1(net41), + .A2(_0374_), + .B1(_0381_), + .C1(net97), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0166_)); + sky130_fd_sc_hd__o2bb2ai_1 _1225_ (.A1_N(net16), + .A2_N(_0882_), + .B1(_0341_), + .B2(_0619_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0382_)); + sky130_fd_sc_hd__or3_1 _1226_ (.A(net75), + .B(\levenshtein_ctrl.d[5] ), + .C(_0365_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0383_)); + sky130_fd_sc_hd__o21ai_1 _1227_ (.A1(net75), + .A2(_0365_), + .B1(\levenshtein_ctrl.d[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0384_)); + sky130_fd_sc_hd__nand2_1 _1228_ (.A(_0383_), + .B(_0384_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0385_)); + sky130_fd_sc_hd__and3_1 _1229_ (.A(net75), + .B(\levenshtein_ctrl.d[5] ), + .C(_0368_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0386_)); + sky130_fd_sc_hd__inv_2 _1230_ (.A(_0386_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0387_)); + sky130_fd_sc_hd__a31o_1 _1231_ (.A1(\levenshtein_ctrl.d[3] ), + .A2(\levenshtein_ctrl.d[4] ), + .A3(_0355_), + .B1(\levenshtein_ctrl.d[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0388_)); + sky130_fd_sc_hd__a32o_1 _1232_ (.A1(_0321_), + .A2(_0387_), + .A3(_0388_), + .B1(_0348_), + .B2(_0385_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0389_)); + sky130_fd_sc_hd__a32o_1 _1233_ (.A1(net90), + .A2(net62), + .A3(_0389_), + .B1(_0382_), + .B2(\levenshtein_ctrl.d[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0167_)); + sky130_fd_sc_hd__or2_1 _1234_ (.A(\levenshtein_ctrl.d[6] ), + .B(_0383_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0390_)); + sky130_fd_sc_hd__nand2_1 _1235_ (.A(\levenshtein_ctrl.d[6] ), + .B(_0383_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0391_)); + sky130_fd_sc_hd__nand3_1 _1236_ (.A(_0348_), + .B(_0390_), + .C(_0391_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0392_)); + sky130_fd_sc_hd__nand2_1 _1237_ (.A(\levenshtein_ctrl.d[6] ), + .B(_0386_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0393_)); + sky130_fd_sc_hd__or2_1 _1238_ (.A(\levenshtein_ctrl.d[6] ), + .B(_0386_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0394_)); + sky130_fd_sc_hd__a21o_1 _1239_ (.A1(_0393_), + .A2(_0394_), + .B1(_0322_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0395_)); + sky130_fd_sc_hd__and3_1 _1240_ (.A(\levenshtein_ctrl.d[6] ), + .B(net29), + .C(_0882_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0396_)); + sky130_fd_sc_hd__a31o_1 _1241_ (.A1(net62), + .A2(_0392_), + .A3(_0395_), + .B1(_0396_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0397_)); + sky130_fd_sc_hd__a21o_1 _1242_ (.A1(\levenshtein_ctrl.d[6] ), + .A2(net28), + .B1(_0341_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0398_)); + sky130_fd_sc_hd__and3_1 _1243_ (.A(net90), + .B(_0397_), + .C(_0398_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0168_)); + sky130_fd_sc_hd__xnor2_1 _1244_ (.A(\levenshtein_ctrl.d[7] ), + .B(_0390_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0399_)); + sky130_fd_sc_hd__xnor2_1 _1245_ (.A(\levenshtein_ctrl.d[7] ), + .B(_0393_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0400_)); + sky130_fd_sc_hd__a22o_1 _1246_ (.A1(_0348_), + .A2(_0399_), + .B1(_0400_), + .B2(_0321_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0401_)); + sky130_fd_sc_hd__a32o_1 _1247_ (.A1(net90), + .A2(net62), + .A3(_0401_), + .B1(_0382_), + .B2(\levenshtein_ctrl.d[7] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0169_)); + sky130_fd_sc_hd__a21o_1 _1248_ (.A1(\levenshtein_ctrl.idx[0] ), + .A2(net28), + .B1(_0280_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0402_)); + sky130_fd_sc_hd__and2_1 _1249_ (.A(\levenshtein_ctrl.idx[0] ), + .B(_0280_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0403_)); + sky130_fd_sc_hd__and3b_1 _1250_ (.A_N(_0403_), + .B(net88), + .C(_0402_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0170_)); + sky130_fd_sc_hd__and4_1 _1251_ (.A(\levenshtein_ctrl.idx[0] ), + .B(\levenshtein_ctrl.idx[1] ), + .C(_0677_), + .D(net18), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0404_)); + sky130_fd_sc_hd__a22oi_1 _1252_ (.A1(\levenshtein_ctrl.idx[1] ), + .A2(net14), + .B1(_0403_), + .B2(net88), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0405_)); + sky130_fd_sc_hd__a21oi_1 _1253_ (.A1(net158), + .A2(_0403_), + .B1(_0405_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0171_)); + sky130_fd_sc_hd__a21o_1 _1254_ (.A1(\levenshtein_ctrl.idx[2] ), + .A2(net24), + .B1(_0404_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0406_)); + sky130_fd_sc_hd__and4_1 _1255_ (.A(\levenshtein_ctrl.idx[0] ), + .B(\levenshtein_ctrl.idx[1] ), + .C(\levenshtein_ctrl.idx[2] ), + .D(_0280_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0407_)); + sky130_fd_sc_hd__and3b_1 _1256_ (.A_N(_0407_), + .B(net88), + .C(_0406_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0172_)); + sky130_fd_sc_hd__a21oi_1 _1257_ (.A1(\levenshtein_ctrl.idx[3] ), + .A2(net24), + .B1(_0407_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0408_)); + sky130_fd_sc_hd__a211oi_1 _1258_ (.A1(net230), + .A2(_0407_), + .B1(_0408_), + .C1(net85), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0173_)); + sky130_fd_sc_hd__a22o_1 _1259_ (.A1(\levenshtein_ctrl.idx[4] ), + .A2(net24), + .B1(_0407_), + .B2(\levenshtein_ctrl.idx[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0409_)); + sky130_fd_sc_hd__and4_1 _1260_ (.A(\levenshtein_ctrl.idx[2] ), + .B(\levenshtein_ctrl.idx[3] ), + .C(\levenshtein_ctrl.idx[4] ), + .D(_0404_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0410_)); + sky130_fd_sc_hd__and3_1 _1261_ (.A(\levenshtein_ctrl.idx[3] ), + .B(\levenshtein_ctrl.idx[4] ), + .C(_0407_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0411_)); + sky130_fd_sc_hd__and3b_1 _1262_ (.A_N(_0410_), + .B(net88), + .C(_0409_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0174_)); + sky130_fd_sc_hd__a21o_1 _1263_ (.A1(\levenshtein_ctrl.idx[5] ), + .A2(net24), + .B1(_0410_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0412_)); + sky130_fd_sc_hd__nand2_1 _1264_ (.A(\levenshtein_ctrl.idx[5] ), + .B(_0410_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0413_)); + sky130_fd_sc_hd__and3_1 _1265_ (.A(net88), + .B(_0412_), + .C(_0413_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0175_)); + sky130_fd_sc_hd__nand2_1 _1266_ (.A(net207), + .B(net24), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0414_)); + sky130_fd_sc_hd__and3_1 _1267_ (.A(\levenshtein_ctrl.idx[5] ), + .B(\levenshtein_ctrl.idx[6] ), + .C(_0411_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0415_)); + sky130_fd_sc_hd__a211oi_1 _1268_ (.A1(_0413_), + .A2(_0414_), + .B1(_0415_), + .C1(net85), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0176_)); + sky130_fd_sc_hd__a21o_1 _1269_ (.A1(\levenshtein_ctrl.idx[7] ), + .A2(net24), + .B1(_0415_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0416_)); + sky130_fd_sc_hd__and4_1 _1270_ (.A(\levenshtein_ctrl.idx[5] ), + .B(\levenshtein_ctrl.idx[6] ), + .C(\levenshtein_ctrl.idx[7] ), + .D(_0410_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0417_)); + sky130_fd_sc_hd__and3b_1 _1271_ (.A_N(_0417_), + .B(net88), + .C(_0416_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0177_)); + sky130_fd_sc_hd__a32o_1 _1272_ (.A1(net88), + .A2(\levenshtein_ctrl.idx[7] ), + .A3(_0415_), + .B1(net14), + .B2(\levenshtein_ctrl.idx[8] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0418_)); + sky130_fd_sc_hd__inv_2 _1273_ (.A(_0418_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0419_)); + sky130_fd_sc_hd__and3_1 _1274_ (.A(\levenshtein_ctrl.idx[7] ), + .B(\levenshtein_ctrl.idx[8] ), + .C(_0415_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0420_)); + sky130_fd_sc_hd__nor2_1 _1275_ (.A(_0419_), + .B(_0420_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0178_)); + sky130_fd_sc_hd__a21o_1 _1276_ (.A1(\levenshtein_ctrl.idx[9] ), + .A2(net25), + .B1(_0420_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0421_)); + sky130_fd_sc_hd__nand2_1 _1277_ (.A(\levenshtein_ctrl.idx[9] ), + .B(_0420_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0422_)); + sky130_fd_sc_hd__and3_1 _1278_ (.A(net88), + .B(_0421_), + .C(_0422_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0179_)); + sky130_fd_sc_hd__nand2_1 _1279_ (.A(net192), + .B(net24), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0423_)); + sky130_fd_sc_hd__and4_1 _1280_ (.A(\levenshtein_ctrl.idx[8] ), + .B(\levenshtein_ctrl.idx[9] ), + .C(\levenshtein_ctrl.idx[10] ), + .D(_0417_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0424_)); + sky130_fd_sc_hd__a211oi_1 _1281_ (.A1(_0422_), + .A2(_0423_), + .B1(_0424_), + .C1(net85), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0180_)); + sky130_fd_sc_hd__and2_1 _1282_ (.A(\levenshtein_ctrl.idx[11] ), + .B(net24), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0425_)); + sky130_fd_sc_hd__or2_1 _1283_ (.A(_0424_), + .B(_0425_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0426_)); + sky130_fd_sc_hd__nand4_1 _1284_ (.A(\levenshtein_ctrl.idx[9] ), + .B(\levenshtein_ctrl.idx[10] ), + .C(_0420_), + .D(_0425_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0427_)); + sky130_fd_sc_hd__and3_1 _1285_ (.A(net88), + .B(_0426_), + .C(_0427_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0181_)); + sky130_fd_sc_hd__nand2_1 _1286_ (.A(net147), + .B(net24), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0428_)); + sky130_fd_sc_hd__and3_1 _1287_ (.A(\levenshtein_ctrl.idx[12] ), + .B(_0424_), + .C(_0425_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0429_)); + sky130_fd_sc_hd__a211oi_1 _1288_ (.A1(_0427_), + .A2(_0428_), + .B1(_0429_), + .C1(net85), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0182_)); + sky130_fd_sc_hd__a21oi_1 _1289_ (.A1(\levenshtein_ctrl.idx[13] ), + .A2(net25), + .B1(_0429_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0430_)); + sky130_fd_sc_hd__a211oi_1 _1290_ (.A1(net182), + .A2(_0429_), + .B1(_0430_), + .C1(net85), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0183_)); + sky130_fd_sc_hd__a22o_1 _1291_ (.A1(\levenshtein_ctrl.idx[14] ), + .A2(net25), + .B1(_0429_), + .B2(\levenshtein_ctrl.idx[13] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0431_)); + sky130_fd_sc_hd__nand3_1 _1292_ (.A(\levenshtein_ctrl.idx[13] ), + .B(\levenshtein_ctrl.idx[14] ), + .C(_0429_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0432_)); + sky130_fd_sc_hd__and3_1 _1293_ (.A(net89), + .B(_0431_), + .C(_0432_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0184_)); + sky130_fd_sc_hd__nor2_1 _1294_ (.A(net85), + .B(net173), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0433_)); + sky130_fd_sc_hd__and3_1 _1295_ (.A(net89), + .B(\levenshtein_ctrl.idx[15] ), + .C(net25), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0434_)); + sky130_fd_sc_hd__mux2_1 _1296_ (.A0(_0433_), + .A1(_0434_), + .S(_0432_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0185_)); + sky130_fd_sc_hd__a21o_1 _1297_ (.A1(_0576_), + .A2(\levenshtein_ctrl.d[1] ), + .B1(\levenshtein_ctrl.d[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0435_)); + sky130_fd_sc_hd__o22a_1 _1298_ (.A1(_0576_), + .A2(\levenshtein_ctrl.d[1] ), + .B1(\levenshtein_ctrl.d[2] ), + .B2(_0578_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0436_)); + sky130_fd_sc_hd__o21a_1 _1299_ (.A1(_0583_), + .A2(_0435_), + .B1(_0436_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0437_)); + sky130_fd_sc_hd__a221o_1 _1300_ (.A1(_0578_), + .A2(\levenshtein_ctrl.d[2] ), + .B1(\levenshtein_ctrl.d[3] ), + .B2(_0577_), + .C1(_0437_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0438_)); + sky130_fd_sc_hd__o221a_1 _1301_ (.A1(_0577_), + .A2(\levenshtein_ctrl.d[3] ), + .B1(net75), + .B2(_0580_), + .C1(_0438_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0439_)); + sky130_fd_sc_hd__a221o_1 _1302_ (.A1(_0580_), + .A2(net75), + .B1(\levenshtein_ctrl.d[5] ), + .B2(_0579_), + .C1(_0439_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0440_)); + sky130_fd_sc_hd__o221a_1 _1303_ (.A1(_0579_), + .A2(\levenshtein_ctrl.d[5] ), + .B1(\levenshtein_ctrl.d[6] ), + .B2(_0581_), + .C1(_0440_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0441_)); + sky130_fd_sc_hd__a22o_1 _1304_ (.A1(_0581_), + .A2(\levenshtein_ctrl.d[6] ), + .B1(\levenshtein_ctrl.d[7] ), + .B2(_0582_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0442_)); + sky130_fd_sc_hd__o22a_1 _1305_ (.A1(_0582_), + .A2(\levenshtein_ctrl.d[7] ), + .B1(_0441_), + .B2(_0442_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0443_)); + sky130_fd_sc_hd__nor2_1 _1306_ (.A(_0279_), + .B(_0443_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0444_)); + sky130_fd_sc_hd__or2_1 _1307_ (.A(_0279_), + .B(_0443_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0445_)); + sky130_fd_sc_hd__nand2_1 _1308_ (.A(net90), + .B(_0444_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0446_)); + sky130_fd_sc_hd__nand2_1 _1309_ (.A(\levenshtein_ctrl.best_idx[0] ), + .B(net14), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0447_)); + sky130_fd_sc_hd__o2bb2a_1 _1310_ (.A1_N(net6), + .A2_N(_0447_), + .B1(net245), + .B2(net9), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0186_)); + sky130_fd_sc_hd__nand2_1 _1311_ (.A(\levenshtein_ctrl.best_idx[1] ), + .B(net14), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0448_)); + sky130_fd_sc_hd__o2bb2a_1 _1312_ (.A1_N(net4), + .A2_N(_0448_), + .B1(\levenshtein_ctrl.idx[1] ), + .B2(net9), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0187_)); + sky130_fd_sc_hd__nand2_1 _1313_ (.A(\levenshtein_ctrl.best_idx[2] ), + .B(net14), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0449_)); + sky130_fd_sc_hd__o2bb2a_1 _1314_ (.A1_N(net4), + .A2_N(_0449_), + .B1(net233), + .B2(net9), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0188_)); + sky130_fd_sc_hd__nand2_1 _1315_ (.A(\levenshtein_ctrl.best_idx[3] ), + .B(net14), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0450_)); + sky130_fd_sc_hd__o2bb2a_1 _1316_ (.A1_N(net4), + .A2_N(_0450_), + .B1(\levenshtein_ctrl.idx[3] ), + .B2(net9), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0189_)); + sky130_fd_sc_hd__nand2_1 _1317_ (.A(\levenshtein_ctrl.best_idx[4] ), + .B(net14), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0451_)); + sky130_fd_sc_hd__o2bb2a_1 _1318_ (.A1_N(net4), + .A2_N(_0451_), + .B1(net226), + .B2(net9), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0190_)); + sky130_fd_sc_hd__nand2_1 _1319_ (.A(\levenshtein_ctrl.best_idx[5] ), + .B(net14), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0452_)); + sky130_fd_sc_hd__o2bb2a_1 _1320_ (.A1_N(net4), + .A2_N(_0452_), + .B1(net247), + .B2(net9), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0191_)); + sky130_fd_sc_hd__nand2_1 _1321_ (.A(\levenshtein_ctrl.best_idx[6] ), + .B(net14), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0453_)); + sky130_fd_sc_hd__o2bb2a_1 _1322_ (.A1_N(net4), + .A2_N(_0453_), + .B1(net207), + .B2(net9), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0192_)); + sky130_fd_sc_hd__nand2_1 _1323_ (.A(\levenshtein_ctrl.best_idx[7] ), + .B(net14), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0454_)); + sky130_fd_sc_hd__o2bb2a_1 _1324_ (.A1_N(net4), + .A2_N(_0454_), + .B1(\levenshtein_ctrl.idx[7] ), + .B2(net9), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0193_)); + sky130_fd_sc_hd__nand2_1 _1325_ (.A(\levenshtein_ctrl.best_idx[8] ), + .B(net15), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0455_)); + sky130_fd_sc_hd__o2bb2a_1 _1326_ (.A1_N(net4), + .A2_N(_0455_), + .B1(net228), + .B2(net9), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0194_)); + sky130_fd_sc_hd__nand2_1 _1327_ (.A(\levenshtein_ctrl.best_idx[9] ), + .B(net15), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0456_)); + sky130_fd_sc_hd__o2bb2a_1 _1328_ (.A1_N(net4), + .A2_N(_0456_), + .B1(\levenshtein_ctrl.idx[9] ), + .B2(net10), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0195_)); + sky130_fd_sc_hd__nand2_1 _1329_ (.A(\levenshtein_ctrl.best_idx[10] ), + .B(net15), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0457_)); + sky130_fd_sc_hd__o2bb2a_1 _1330_ (.A1_N(net4), + .A2_N(_0457_), + .B1(net192), + .B2(net9), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0196_)); + sky130_fd_sc_hd__nand2_1 _1331_ (.A(\levenshtein_ctrl.best_idx[11] ), + .B(net15), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0458_)); + sky130_fd_sc_hd__o2bb2a_1 _1332_ (.A1_N(net5), + .A2_N(_0458_), + .B1(net132), + .B2(net10), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0197_)); + sky130_fd_sc_hd__nand2_1 _1333_ (.A(\levenshtein_ctrl.best_idx[12] ), + .B(net15), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0459_)); + sky130_fd_sc_hd__o2bb2a_1 _1334_ (.A1_N(net5), + .A2_N(_0459_), + .B1(net147), + .B2(net10), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0198_)); + sky130_fd_sc_hd__nand2_1 _1335_ (.A(\levenshtein_ctrl.best_idx[13] ), + .B(net15), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0460_)); + sky130_fd_sc_hd__o2bb2a_1 _1336_ (.A1_N(net5), + .A2_N(_0460_), + .B1(\levenshtein_ctrl.idx[13] ), + .B2(net10), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0199_)); + sky130_fd_sc_hd__nand2_1 _1337_ (.A(\levenshtein_ctrl.best_idx[14] ), + .B(net15), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0461_)); + sky130_fd_sc_hd__o2bb2a_1 _1338_ (.A1_N(net5), + .A2_N(_0461_), + .B1(net196), + .B2(net10), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0200_)); + sky130_fd_sc_hd__nand2_1 _1339_ (.A(\levenshtein_ctrl.best_idx[15] ), + .B(net15), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0462_)); + sky130_fd_sc_hd__o2bb2a_1 _1340_ (.A1_N(net5), + .A2_N(_0462_), + .B1(net173), + .B2(net10), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0201_)); + sky130_fd_sc_hd__o21ba_1 _1341_ (.A1(\uart.state[4] ), + .A2(_0730_), + .B1_N(_0740_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0463_)); + sky130_fd_sc_hd__nor2_1 _1342_ (.A(_0685_), + .B(_0730_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0464_)); + sky130_fd_sc_hd__o21a_1 _1343_ (.A1(\uart.clk_counter[0] ), + .A2(_0464_), + .B1(_0463_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0465_)); + sky130_fd_sc_hd__inv_2 _1344_ (.A(_0465_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0466_)); + sky130_fd_sc_hd__o211a_1 _1345_ (.A1(\uart.clk_counter[0] ), + .A2(_0463_), + .B1(_0466_), + .C1(net106), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0202_)); + sky130_fd_sc_hd__a21oi_1 _1346_ (.A1(\uart.clk_counter[1] ), + .A2(_0465_), + .B1(net86), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0467_)); + sky130_fd_sc_hd__o21a_1 _1347_ (.A1(net240), + .A2(_0465_), + .B1(_0467_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0203_)); + sky130_fd_sc_hd__a31o_1 _1348_ (.A1(\uart.clk_counter[1] ), + .A2(\uart.clk_counter[0] ), + .A3(_0463_), + .B1(\uart.clk_counter[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0468_)); + sky130_fd_sc_hd__o21ai_1 _1349_ (.A1(_0680_), + .A2(_0464_), + .B1(_0463_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0469_)); + sky130_fd_sc_hd__and3_1 _1350_ (.A(net106), + .B(_0468_), + .C(_0469_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0204_)); + sky130_fd_sc_hd__o21ai_1 _1351_ (.A1(uio_out[3]), + .A2(net74), + .B1(_0745_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0470_)); + sky130_fd_sc_hd__a21oi_1 _1352_ (.A1(uio_out[3]), + .A2(net74), + .B1(_0470_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0205_)); + sky130_fd_sc_hd__and2_2 _1353_ (.A(\spi_ctrl.bit_counter[1] ), + .B(net73), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0471_)); + sky130_fd_sc_hd__a21oi_1 _1354_ (.A1(uio_out[3]), + .A2(net74), + .B1(\spi_ctrl.bit_counter[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0472_)); + sky130_fd_sc_hd__a211oi_1 _1355_ (.A1(uio_out[3]), + .A2(_0471_), + .B1(_0472_), + .C1(_0044_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0206_)); + sky130_fd_sc_hd__and3_1 _1356_ (.A(\spi_ctrl.bit_counter[2] ), + .B(\spi_ctrl.bit_counter[1] ), + .C(net74), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0473_)); + sky130_fd_sc_hd__and2_1 _1357_ (.A(uio_out[3]), + .B(_0473_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0474_)); + sky130_fd_sc_hd__a31o_1 _1358_ (.A1(uio_out[3]), + .A2(\spi_ctrl.bit_counter[1] ), + .A3(net74), + .B1(\spi_ctrl.bit_counter[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0475_)); + sky130_fd_sc_hd__and3b_1 _1359_ (.A_N(_0474_), + .B(_0475_), + .C(_0745_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0207_)); + sky130_fd_sc_hd__and2_1 _1360_ (.A(\spi_ctrl.bit_counter[3] ), + .B(_0473_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0476_)); + sky130_fd_sc_hd__o21ai_1 _1361_ (.A1(\spi_ctrl.bit_counter[3] ), + .A2(_0474_), + .B1(_0745_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0477_)); + sky130_fd_sc_hd__a21oi_1 _1362_ (.A1(net242), + .A2(_0474_), + .B1(_0477_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0208_)); + sky130_fd_sc_hd__a31o_1 _1363_ (.A1(uio_out[3]), + .A2(\spi_ctrl.bit_counter[3] ), + .A3(_0473_), + .B1(\spi_ctrl.bit_counter[4] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0478_)); + sky130_fd_sc_hd__and3_1 _1364_ (.A(\spi_ctrl.bit_counter[4] ), + .B(\spi_ctrl.bit_counter[3] ), + .C(_0474_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0479_)); + sky130_fd_sc_hd__and3b_1 _1365_ (.A_N(_0479_), + .B(_0745_), + .C(_0478_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0209_)); + sky130_fd_sc_hd__a21oi_1 _1366_ (.A1(\spi_ctrl.bit_counter[5] ), + .A2(_0479_), + .B1(_0044_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0480_)); + sky130_fd_sc_hd__o21a_1 _1367_ (.A1(\spi_ctrl.bit_counter[5] ), + .A2(_0479_), + .B1(_0480_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0210_)); + sky130_fd_sc_hd__nor2_1 _1368_ (.A(\spi_ctrl.bit_counter[4] ), + .B(\spi_ctrl.bit_counter[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0481_)); + sky130_fd_sc_hd__and4_1 _1369_ (.A(\spi_ctrl.bit_counter[5] ), + .B(_0745_), + .C(_0474_), + .D(_0481_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0211_)); + sky130_fd_sc_hd__o211a_1 _1370_ (.A1(_0572_), + .A2(_0481_), + .B1(net34), + .C1(uio_out[3]), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0482_)); + sky130_fd_sc_hd__nand2_4 _1371_ (.A(_0210_), + .B(_0482_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0483_)); + sky130_fd_sc_hd__mux2_1 _1372_ (.A0(net3), + .A1(net142), + .S(_0483_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0212_)); + sky130_fd_sc_hd__mux2_1 _1373_ (.A0(net142), + .A1(net140), + .S(_0483_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0213_)); + sky130_fd_sc_hd__mux2_1 _1374_ (.A0(net140), + .A1(net134), + .S(_0483_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0214_)); + sky130_fd_sc_hd__mux2_1 _1375_ (.A0(net134), + .A1(\intercon.wbs1_dat_i[3] ), + .S(_0483_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0215_)); + sky130_fd_sc_hd__mux2_1 _1376_ (.A0(net145), + .A1(net143), + .S(_0483_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0216_)); + sky130_fd_sc_hd__mux2_1 _1377_ (.A0(net143), + .A1(net137), + .S(_0483_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0217_)); + sky130_fd_sc_hd__mux2_1 _1378_ (.A0(net137), + .A1(\intercon.wbs1_dat_i[6] ), + .S(_0483_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0218_)); + sky130_fd_sc_hd__mux2_1 _1379_ (.A0(\intercon.wbs1_dat_i[6] ), + .A1(net130), + .S(_0483_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0219_)); + sky130_fd_sc_hd__nor2_1 _1380_ (.A(\spi_ctrl.bit_counter[2] ), + .B(_0471_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0484_)); + sky130_fd_sc_hd__nor2_2 _1381_ (.A(_0473_), + .B(_0484_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0485_)); + sky130_fd_sc_hd__nor2_1 _1382_ (.A(_0573_), + .B(net73), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0486_)); + sky130_fd_sc_hd__and3_1 _1383_ (.A(net70), + .B(net80), + .C(\levenshtein_ctrl.dict_address[8] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0487_)); + sky130_fd_sc_hd__a221o_1 _1384_ (.A1(net67), + .A2(\intercon.wbm0_adr_i[8] ), + .B1(\levenshtein_ctrl.pm[7] ), + .B2(_0597_), + .C1(_0487_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0488_)); + sky130_fd_sc_hd__mux2_1 _1385_ (.A0(\intercon.wbm0_adr_i[11] ), + .A1(\levenshtein_ctrl.dict_address[11] ), + .S(net71), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0489_)); + sky130_fd_sc_hd__inv_2 _1386_ (.A(_0489_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0490_)); + sky130_fd_sc_hd__mux2_1 _1387_ (.A0(\intercon.wbm0_adr_i[10] ), + .A1(\levenshtein_ctrl.dict_address[10] ), + .S(net71), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0491_)); + sky130_fd_sc_hd__and2_2 _1388_ (.A(_0573_), + .B(net73), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0492_)); + sky130_fd_sc_hd__or2_1 _1389_ (.A(net60), + .B(_0492_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0493_)); + sky130_fd_sc_hd__a211o_1 _1390_ (.A1(net73), + .A2(_0490_), + .B1(_0493_), + .C1(_0597_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0494_)); + sky130_fd_sc_hd__o21ba_1 _1391_ (.A1(net73), + .A2(_0491_), + .B1_N(_0494_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0495_)); + sky130_fd_sc_hd__nor2_1 _1392_ (.A(\spi_ctrl.bit_counter[3] ), + .B(_0473_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0496_)); + sky130_fd_sc_hd__or2_1 _1393_ (.A(_0476_), + .B(_0496_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0497_)); + sky130_fd_sc_hd__a22o_1 _1394_ (.A1(net67), + .A2(\intercon.wbm0_adr_i[9] ), + .B1(\levenshtein_ctrl.dict_address[9] ), + .B2(_0599_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0498_)); + sky130_fd_sc_hd__a22o_1 _1395_ (.A1(net60), + .A2(_0488_), + .B1(_0492_), + .B2(_0498_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0499_)); + sky130_fd_sc_hd__or3b_1 _1396_ (.A(_0495_), + .B(_0499_), + .C_N(_0497_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0500_)); + sky130_fd_sc_hd__and3_1 _1397_ (.A(net70), + .B(net80), + .C(\levenshtein_ctrl.dict_address[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0501_)); + sky130_fd_sc_hd__a221o_1 _1398_ (.A1(net67), + .A2(\intercon.wbm0_adr_i[3] ), + .B1(\levenshtein_ctrl.pm[2] ), + .B2(net65), + .C1(_0501_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0502_)); + sky130_fd_sc_hd__nor2_1 _1399_ (.A(\spi_ctrl.bit_counter[1] ), + .B(net73), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0503_)); + sky130_fd_sc_hd__a22o_1 _1400_ (.A1(net58), + .A2(_0486_), + .B1(net69), + .B2(_0615_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0504_)); + sky130_fd_sc_hd__a221o_1 _1401_ (.A1(_0604_), + .A2(_0492_), + .B1(_0502_), + .B2(_0471_), + .C1(_0497_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0505_)); + sky130_fd_sc_hd__xnor2_1 _1402_ (.A(\spi_ctrl.bit_counter[4] ), + .B(_0476_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0506_)); + sky130_fd_sc_hd__and3_1 _1403_ (.A(net70), + .B(net80), + .C(\levenshtein_ctrl.dict_address[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0507_)); + sky130_fd_sc_hd__a221o_1 _1404_ (.A1(net67), + .A2(\intercon.wbm0_adr_i[5] ), + .B1(\levenshtein_ctrl.pm[4] ), + .B2(net65), + .C1(_0507_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0508_)); + sky130_fd_sc_hd__and3_1 _1405_ (.A(net70), + .B(net80), + .C(\levenshtein_ctrl.dict_address[4] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0509_)); + sky130_fd_sc_hd__a221o_1 _1406_ (.A1(net67), + .A2(\intercon.wbm0_adr_i[4] ), + .B1(\levenshtein_ctrl.pm[3] ), + .B2(net65), + .C1(_0509_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0510_)); + sky130_fd_sc_hd__a22o_1 _1407_ (.A1(_0492_), + .A2(_0508_), + .B1(_0510_), + .B2(net60), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0511_)); + sky130_fd_sc_hd__and3_1 _1408_ (.A(net70), + .B(net81), + .C(\levenshtein_ctrl.dict_address[7] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0512_)); + sky130_fd_sc_hd__a221o_1 _1409_ (.A1(net67), + .A2(\intercon.wbm0_adr_i[7] ), + .B1(\levenshtein_ctrl.pm[6] ), + .B2(_0597_), + .C1(_0512_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0513_)); + sky130_fd_sc_hd__and3_1 _1410_ (.A(net70), + .B(net81), + .C(\levenshtein_ctrl.dict_address[6] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0514_)); + sky130_fd_sc_hd__a221o_1 _1411_ (.A1(net67), + .A2(\intercon.wbm0_adr_i[6] ), + .B1(\levenshtein_ctrl.pm[5] ), + .B2(_0597_), + .C1(_0514_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0515_)); + sky130_fd_sc_hd__a22o_1 _1412_ (.A1(_0471_), + .A2(_0513_), + .B1(_0515_), + .B2(_0503_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0516_)); + sky130_fd_sc_hd__mux4_1 _1413_ (.A0(\intercon.wbm0_adr_i[14] ), + .A1(\intercon.wbm0_adr_i[15] ), + .A2(\levenshtein_ctrl.dict_address[14] ), + .A3(\levenshtein_ctrl.dict_address[15] ), + .S0(net73), + .S1(net71), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0517_)); + sky130_fd_sc_hd__mux2_1 _1414_ (.A0(\intercon.wbm0_adr_i[12] ), + .A1(\levenshtein_ctrl.dict_address[12] ), + .S(net71), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0518_)); + sky130_fd_sc_hd__inv_2 _1415_ (.A(_0518_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0519_)); + sky130_fd_sc_hd__mux2_1 _1416_ (.A0(\intercon.wbm0_adr_i[13] ), + .A1(\levenshtein_ctrl.dict_address[13] ), + .S(net71), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0520_)); + sky130_fd_sc_hd__inv_2 _1417_ (.A(_0520_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0521_)); + sky130_fd_sc_hd__mux2_1 _1418_ (.A0(\intercon.wbm0_adr_i[19] ), + .A1(\levenshtein_ctrl.dict_address[19] ), + .S(net72), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0522_)); + sky130_fd_sc_hd__mux2_1 _1419_ (.A0(\intercon.wbm0_adr_i[18] ), + .A1(\levenshtein_ctrl.dict_address[18] ), + .S(net71), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0523_)); + sky130_fd_sc_hd__a22o_1 _1420_ (.A1(net73), + .A2(_0522_), + .B1(_0523_), + .B2(_0573_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0524_)); + sky130_fd_sc_hd__mux2_1 _1421_ (.A0(\intercon.wbm0_adr_i[17] ), + .A1(\levenshtein_ctrl.dict_address[17] ), + .S(net71), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0525_)); + sky130_fd_sc_hd__mux2_1 _1422_ (.A0(\intercon.wbm0_adr_i[16] ), + .A1(\levenshtein_ctrl.dict_address[16] ), + .S(net72), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0526_)); + sky130_fd_sc_hd__mux2_1 _1423_ (.A0(_0524_), + .A1(_0525_), + .S(_0492_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0527_)); + sky130_fd_sc_hd__a21o_1 _1424_ (.A1(net60), + .A2(_0526_), + .B1(_0527_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0528_)); + sky130_fd_sc_hd__and3b_1 _1425_ (.A_N(net65), + .B(_0485_), + .C(_0528_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0529_)); + sky130_fd_sc_hd__or2_1 _1426_ (.A(net73), + .B(\levenshtein_ctrl.dict_address[20] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0530_)); + sky130_fd_sc_hd__mux2_1 _1427_ (.A0(\intercon.wbm0_adr_i[20] ), + .A1(\intercon.wbm0_adr_i[21] ), + .S(net73), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0531_)); + sky130_fd_sc_hd__a22o_1 _1428_ (.A1(_0599_), + .A2(_0530_), + .B1(_0531_), + .B2(net67), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0532_)); + sky130_fd_sc_hd__nand2_1 _1429_ (.A(_0493_), + .B(_0532_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0533_)); + sky130_fd_sc_hd__o211a_1 _1430_ (.A1(_0504_), + .A2(_0505_), + .B1(_0485_), + .C1(_0500_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0534_)); + sky130_fd_sc_hd__a2bb2o_1 _1431_ (.A1_N(_0493_), + .A2_N(_0517_), + .B1(_0521_), + .B2(_0492_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0535_)); + sky130_fd_sc_hd__a211o_1 _1432_ (.A1(net60), + .A2(_0519_), + .B1(_0535_), + .C1(net65), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0536_)); + sky130_fd_sc_hd__a21oi_1 _1433_ (.A1(_0497_), + .A2(_0536_), + .B1(_0485_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0537_)); + sky130_fd_sc_hd__o31a_1 _1434_ (.A1(_0497_), + .A2(_0511_), + .A3(_0516_), + .B1(_0537_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0538_)); + sky130_fd_sc_hd__or3_1 _1435_ (.A(_0506_), + .B(_0534_), + .C(_0538_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0539_)); + sky130_fd_sc_hd__o21ai_1 _1436_ (.A1(_0485_), + .A2(_0533_), + .B1(_0506_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0540_)); + sky130_fd_sc_hd__a21oi_1 _1437_ (.A1(\spi_ctrl.bit_counter[4] ), + .A2(_0476_), + .B1(\spi_ctrl.bit_counter[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0541_)); + sky130_fd_sc_hd__or3_1 _1438_ (.A(\spi_ctrl.bit_counter[4] ), + .B(\spi_ctrl.bit_counter[3] ), + .C(_0473_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0542_)); + sky130_fd_sc_hd__o211a_1 _1439_ (.A1(_0529_), + .A2(_0540_), + .B1(_0541_), + .C1(_0542_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0543_)); + sky130_fd_sc_hd__and2_1 _1440_ (.A(_0539_), + .B(_0543_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0544_)); + sky130_fd_sc_hd__and3_1 _1441_ (.A(_0572_), + .B(\spi_ctrl.bit_counter[2] ), + .C(_0481_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0545_)); + sky130_fd_sc_hd__o311a_1 _1442_ (.A1(net72), + .A2(_0574_), + .A3(_0492_), + .B1(_0493_), + .C1(_0545_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0546_)); + sky130_fd_sc_hd__a22oi_1 _1443_ (.A1(_0748_), + .A2(_0486_), + .B1(_0492_), + .B2(_0750_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0547_)); + sky130_fd_sc_hd__a22oi_1 _1444_ (.A1(_0754_), + .A2(_0471_), + .B1(net69), + .B2(_0752_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0548_)); + sky130_fd_sc_hd__a32o_1 _1445_ (.A1(_0485_), + .A2(_0547_), + .A3(_0548_), + .B1(_0542_), + .B2(\spi_ctrl.bit_counter[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0549_)); + sky130_fd_sc_hd__a22o_1 _1446_ (.A1(_0762_), + .A2(_0471_), + .B1(net69), + .B2(_0760_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0550_)); + sky130_fd_sc_hd__a221o_1 _1447_ (.A1(_0756_), + .A2(_0486_), + .B1(_0492_), + .B2(_0758_), + .C1(_0550_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0551_)); + sky130_fd_sc_hd__nor2_1 _1448_ (.A(_0485_), + .B(_0551_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0552_)); + sky130_fd_sc_hd__or3_1 _1449_ (.A(_0541_), + .B(_0549_), + .C(_0552_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0553_)); + sky130_fd_sc_hd__or4bb_1 _1450_ (.A(_0544_), + .B(_0546_), + .C_N(_0553_), + .D_N(_0482_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0554_)); + sky130_fd_sc_hd__o211a_1 _1451_ (.A1(uio_out[1]), + .A2(_0482_), + .B1(_0554_), + .C1(net94), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0220_)); + sky130_fd_sc_hd__nand2_1 _1452_ (.A(uio_out[3]), + .B(uio_out[0]), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0555_)); + sky130_fd_sc_hd__or2_1 _1453_ (.A(uio_out[3]), + .B(uio_out[0]), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0556_)); + sky130_fd_sc_hd__a21oi_1 _1454_ (.A1(_0555_), + .A2(_0556_), + .B1(_0044_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0221_)); + sky130_fd_sc_hd__nand2_1 _1455_ (.A(_0583_), + .B(net17), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0557_)); + sky130_fd_sc_hd__a22o_1 _1456_ (.A1(\levenshtein_ctrl.d[0] ), + .A2(net11), + .B1(net6), + .B2(_0557_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0222_)); + sky130_fd_sc_hd__nand2_1 _1457_ (.A(_0576_), + .B(net16), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0558_)); + sky130_fd_sc_hd__a22o_1 _1458_ (.A1(\levenshtein_ctrl.d[1] ), + .A2(net11), + .B1(net6), + .B2(_0558_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0223_)); + sky130_fd_sc_hd__nand2_1 _1459_ (.A(_0578_), + .B(net16), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0559_)); + sky130_fd_sc_hd__a22o_1 _1460_ (.A1(\levenshtein_ctrl.d[2] ), + .A2(net11), + .B1(net6), + .B2(_0559_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0224_)); + sky130_fd_sc_hd__nand2_1 _1461_ (.A(_0577_), + .B(net16), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0560_)); + sky130_fd_sc_hd__a22o_1 _1462_ (.A1(\levenshtein_ctrl.d[3] ), + .A2(net11), + .B1(net6), + .B2(_0560_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0225_)); + sky130_fd_sc_hd__nand2_1 _1463_ (.A(_0580_), + .B(net16), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0561_)); + sky130_fd_sc_hd__a22o_1 _1464_ (.A1(net75), + .A2(net11), + .B1(net6), + .B2(_0561_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0226_)); + sky130_fd_sc_hd__nand2_1 _1465_ (.A(_0579_), + .B(net16), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0562_)); + sky130_fd_sc_hd__a22o_1 _1466_ (.A1(\levenshtein_ctrl.d[5] ), + .A2(net11), + .B1(net6), + .B2(_0562_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0227_)); + sky130_fd_sc_hd__nand2_1 _1467_ (.A(_0581_), + .B(net16), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0563_)); + sky130_fd_sc_hd__a22o_1 _1468_ (.A1(\levenshtein_ctrl.d[6] ), + .A2(_0444_), + .B1(net6), + .B2(_0563_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0228_)); + sky130_fd_sc_hd__and3b_1 _1469_ (.A_N(\levenshtein_ctrl.d[7] ), + .B(_0280_), + .C(net90), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0564_)); + sky130_fd_sc_hd__a21oi_1 _1470_ (.A1(_0582_), + .A2(net16), + .B1(_0564_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0229_)); + sky130_fd_sc_hd__o21ai_1 _1471_ (.A1(\uart.state[1] ), + .A2(\uart.state[2] ), + .B1(_0858_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0565_)); + sky130_fd_sc_hd__or2_1 _1472_ (.A(_0691_), + .B(_0565_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0566_)); + sky130_fd_sc_hd__a22o_1 _1473_ (.A1(\intercon.wbm0_dat_i[1] ), + .A2(_0587_), + .B1(_0667_), + .B2(_0856_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0567_)); + sky130_fd_sc_hd__mux2_1 _1474_ (.A0(_0567_), + .A1(\intercon.wbm0_dat_i[0] ), + .S(_0566_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0568_)); + sky130_fd_sc_hd__and2_1 _1475_ (.A(net103), + .B(_0568_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0230_)); + sky130_fd_sc_hd__mux2_1 _1476_ (.A0(net68), + .A1(_0571_), + .S(_0855_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0569_)); + sky130_fd_sc_hd__nor2_1 _1477_ (.A(net86), + .B(_0569_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0231_)); + sky130_fd_sc_hd__inv_2 _1478_ (.A(net72), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0570_)); + sky130_fd_sc_hd__inv_2 _1479_ (.A(net76), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0571_)); + sky130_fd_sc_hd__inv_2 _1480_ (.A(\spi_ctrl.bit_counter[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0572_)); + sky130_fd_sc_hd__inv_2 _1481_ (.A(\spi_ctrl.bit_counter[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0573_)); + sky130_fd_sc_hd__inv_2 _1482_ (.A(\intercon.wbm0_we_i ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0574_)); + sky130_fd_sc_hd__inv_2 _1483_ (.A(\levenshtein_ctrl.dict_address[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0575_)); + sky130_fd_sc_hd__inv_2 _1484_ (.A(\levenshtein_ctrl.best_distance[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0576_)); + sky130_fd_sc_hd__inv_2 _1485_ (.A(\levenshtein_ctrl.best_distance[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0577_)); + sky130_fd_sc_hd__inv_2 _1486_ (.A(\levenshtein_ctrl.best_distance[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0578_)); + sky130_fd_sc_hd__inv_2 _1487_ (.A(\levenshtein_ctrl.best_distance[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0579_)); + sky130_fd_sc_hd__inv_2 _1488_ (.A(\levenshtein_ctrl.best_distance[4] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0580_)); + sky130_fd_sc_hd__inv_2 _1489_ (.A(\levenshtein_ctrl.best_distance[6] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0581_)); + sky130_fd_sc_hd__inv_2 _1490_ (.A(net157), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0582_)); + sky130_fd_sc_hd__inv_2 _1491_ (.A(\levenshtein_ctrl.best_distance[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0583_)); + sky130_fd_sc_hd__inv_2 _1492_ (.A(net89), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0584_)); + sky130_fd_sc_hd__inv_2 _1493_ (.A(net2), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0585_)); + sky130_fd_sc_hd__inv_2 _1494_ (.A(\uart.state[4] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0586_)); + sky130_fd_sc_hd__inv_2 _1495_ (.A(\uart.state[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0587_)); + sky130_fd_sc_hd__inv_2 _1496_ (.A(\levenshtein_ctrl.vn[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0588_)); + sky130_fd_sc_hd__inv_2 _1497_ (.A(\levenshtein_ctrl.pm[4] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0589_)); + sky130_fd_sc_hd__inv_2 _1498_ (.A(\levenshtein_ctrl.vn[6] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0590_)); + sky130_fd_sc_hd__inv_2 _1499_ (.A(\levenshtein_ctrl.vn[11] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0591_)); + sky130_fd_sc_hd__inv_2 _1500_ (.A(\levenshtein_ctrl.d[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0592_)); + sky130_fd_sc_hd__o21a_1 _1501_ (.A1(\intercon.wbs1_ack_i ), + .A2(\intercon.wbs0_ack_i ), + .B1(net72), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0593_)); + sky130_fd_sc_hd__nand2_1 _1502_ (.A(net76), + .B(\levenshtein_ctrl.enabled ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0594_)); + sky130_fd_sc_hd__o2111a_1 _1503_ (.A1(\intercon.wbs1_ack_i ), + .A2(\intercon.wbs0_ack_i ), + .B1(\levenshtein_ctrl.enabled ), + .C1(net76), + .D1(net72), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0595_)); + sky130_fd_sc_hd__and2_1 _1504_ (.A(net90), + .B(_0595_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0596_)); + sky130_fd_sc_hd__and2b_1 _1505_ (.A_N(net80), + .B(net71), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0597_)); + sky130_fd_sc_hd__nor2_1 _1506_ (.A(net70), + .B(\intercon.wbm0_adr_i[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0598_)); + sky130_fd_sc_hd__and2_2 _1507_ (.A(net70), + .B(net80), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0599_)); + sky130_fd_sc_hd__a221oi_1 _1508_ (.A1(\levenshtein_ctrl.state[2] ), + .A2(net65), + .B1(_0599_), + .B2(_0575_), + .C1(_0598_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0600_)); + sky130_fd_sc_hd__a221o_1 _1509_ (.A1(\levenshtein_ctrl.state[2] ), + .A2(net65), + .B1(_0599_), + .B2(_0575_), + .C1(_0598_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0601_)); + sky130_fd_sc_hd__and2b_1 _1510_ (.A_N(net71), + .B(\intercon.wbm0_adr_i[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0602_)); + sky130_fd_sc_hd__a221oi_1 _1511_ (.A1(\levenshtein_ctrl.pm[0] ), + .A2(net65), + .B1(_0599_), + .B2(\levenshtein_ctrl.dict_address[1] ), + .C1(_0602_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0603_)); + sky130_fd_sc_hd__a221o_1 _1512_ (.A1(\levenshtein_ctrl.pm[0] ), + .A2(net65), + .B1(_0599_), + .B2(\levenshtein_ctrl.dict_address[1] ), + .C1(_0602_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0604_)); + sky130_fd_sc_hd__nand2_1 _1513_ (.A(net56), + .B(net54), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0605_)); + sky130_fd_sc_hd__mux2_1 _1514_ (.A0(\intercon.wbm0_cyc_i ), + .A1(net76), + .S(net72), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0606_)); + sky130_fd_sc_hd__nand2_1 _1515_ (.A(\intercon.arbiter.cyc_o ), + .B(_0606_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0607_)); + sky130_fd_sc_hd__inv_2 _1516_ (.A(_0607_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0608_)); + sky130_fd_sc_hd__nor2_1 _1517_ (.A(net72), + .B(\intercon.wbm0_adr_i[22] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0609_)); + sky130_fd_sc_hd__or2_2 _1518_ (.A(net70), + .B(\intercon.wbm0_adr_i[22] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0610_)); + sky130_fd_sc_hd__nor3_1 _1519_ (.A(\intercon.wbs0_ack_i ), + .B(_0607_), + .C(_0610_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0611_)); + sky130_fd_sc_hd__inv_2 _1520_ (.A(net39), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0612_)); + sky130_fd_sc_hd__nand2_1 _1521_ (.A(\intercon.wbm0_we_i ), + .B(_0611_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0613_)); + sky130_fd_sc_hd__and3_1 _1522_ (.A(net70), + .B(net80), + .C(\levenshtein_ctrl.dict_address[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0614_)); + sky130_fd_sc_hd__a221o_1 _1523_ (.A1(net67), + .A2(\intercon.wbm0_adr_i[2] ), + .B1(\levenshtein_ctrl.pm[1] ), + .B2(net65), + .C1(_0614_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0615_)); + sky130_fd_sc_hd__or2_2 _1524_ (.A(_0613_), + .B(_0615_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0616_)); + sky130_fd_sc_hd__or2_1 _1525_ (.A(_0605_), + .B(_0616_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0617_)); + sky130_fd_sc_hd__and2_1 _1526_ (.A(net88), + .B(net24), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0618_)); + sky130_fd_sc_hd__nand2_1 _1527_ (.A(net79), + .B(net16), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0619_)); + sky130_fd_sc_hd__a2bb2o_1 _1528_ (.A1_N(\levenshtein_ctrl.enabled ), + .A2_N(_0619_), + .B1(_0596_), + .B2(\levenshtein_ctrl.state[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0003_)); + sky130_fd_sc_hd__and2_1 _1529_ (.A(\intercon.wbs1_dat_i[6] ), + .B(_0610_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0620_)); + sky130_fd_sc_hd__nor2_1 _1530_ (.A(net57), + .B(net53), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0621_)); + sky130_fd_sc_hd__nand2_1 _1531_ (.A(net56), + .B(net51), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0622_)); + sky130_fd_sc_hd__or3_1 _1532_ (.A(\levenshtein_ctrl.best_idx[14] ), + .B(net58), + .C(net54), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0623_)); + sky130_fd_sc_hd__nand2_1 _1533_ (.A(net58), + .B(net51), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0624_)); + sky130_fd_sc_hd__or3_1 _1534_ (.A(\levenshtein_ctrl.best_idx[6] ), + .B(net55), + .C(net52), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0625_)); + sky130_fd_sc_hd__a21oi_2 _1535_ (.A1(net56), + .A2(net54), + .B1(_0610_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0626_)); + sky130_fd_sc_hd__nand2_1 _1536_ (.A(net57), + .B(net53), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0627_)); + sky130_fd_sc_hd__or3_1 _1537_ (.A(\levenshtein_ctrl.best_distance[6] ), + .B(net55), + .C(net51), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0628_)); + sky130_fd_sc_hd__and4_1 _1538_ (.A(_0623_), + .B(_0625_), + .C(_0626_), + .D(_0628_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0629_)); + sky130_fd_sc_hd__or2_2 _1539_ (.A(_0620_), + .B(_0629_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0630_)); + sky130_fd_sc_hd__and2_1 _1540_ (.A(\intercon.wbs1_dat_i[1] ), + .B(_0610_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0631_)); + sky130_fd_sc_hd__or3_1 _1541_ (.A(\levenshtein_ctrl.best_idx[9] ), + .B(net57), + .C(net52), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0632_)); + sky130_fd_sc_hd__or3_1 _1542_ (.A(\levenshtein_ctrl.best_distance[1] ), + .B(net56), + .C(net51), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0633_)); + sky130_fd_sc_hd__or3_1 _1543_ (.A(\levenshtein_ctrl.best_idx[1] ), + .B(net55), + .C(net52), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0634_)); + sky130_fd_sc_hd__a41o_1 _1544_ (.A1(_0626_), + .A2(_0632_), + .A3(_0633_), + .A4(_0634_), + .B1(_0631_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0635_)); + sky130_fd_sc_hd__and2_1 _1545_ (.A(\intercon.wbs1_dat_i[2] ), + .B(_0610_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0636_)); + sky130_fd_sc_hd__or3_1 _1546_ (.A(\levenshtein_ctrl.best_distance[2] ), + .B(net55), + .C(net51), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0637_)); + sky130_fd_sc_hd__or3_1 _1547_ (.A(\levenshtein_ctrl.best_idx[10] ), + .B(net57), + .C(net52), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0638_)); + sky130_fd_sc_hd__or3_1 _1548_ (.A(\levenshtein_ctrl.best_idx[2] ), + .B(net55), + .C(net52), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0639_)); + sky130_fd_sc_hd__and4_1 _1549_ (.A(_0626_), + .B(_0637_), + .C(_0638_), + .D(_0639_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0640_)); + sky130_fd_sc_hd__or2_2 _1550_ (.A(_0636_), + .B(_0640_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0641_)); + sky130_fd_sc_hd__o221a_1 _1551_ (.A1(_0620_), + .A2(_0629_), + .B1(_0636_), + .B2(_0640_), + .C1(_0635_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0642_)); + sky130_fd_sc_hd__and2_1 _1552_ (.A(\intercon.wbs1_dat_i[4] ), + .B(_0610_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0643_)); + sky130_fd_sc_hd__or3_1 _1553_ (.A(\levenshtein_ctrl.best_distance[4] ), + .B(net56), + .C(net51), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0644_)); + sky130_fd_sc_hd__or3_1 _1554_ (.A(\levenshtein_ctrl.best_idx[12] ), + .B(net57), + .C(net53), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0645_)); + sky130_fd_sc_hd__or3_1 _1555_ (.A(\levenshtein_ctrl.best_idx[4] ), + .B(net55), + .C(net52), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0646_)); + sky130_fd_sc_hd__and4_1 _1556_ (.A(_0626_), + .B(_0644_), + .C(_0645_), + .D(_0646_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0647_)); + sky130_fd_sc_hd__or2_2 _1557_ (.A(_0643_), + .B(_0647_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0648_)); + sky130_fd_sc_hd__and2_1 _1558_ (.A(\intercon.wbs1_dat_i[5] ), + .B(_0610_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0649_)); + sky130_fd_sc_hd__or3_1 _1559_ (.A(\levenshtein_ctrl.best_idx[13] ), + .B(net57), + .C(net53), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0650_)); + sky130_fd_sc_hd__or3_1 _1560_ (.A(\levenshtein_ctrl.best_idx[5] ), + .B(net56), + .C(net53), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0651_)); + sky130_fd_sc_hd__or3_1 _1561_ (.A(\levenshtein_ctrl.best_distance[5] ), + .B(net56), + .C(net51), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0652_)); + sky130_fd_sc_hd__and4_1 _1562_ (.A(_0626_), + .B(_0650_), + .C(_0651_), + .D(_0652_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0653_)); + sky130_fd_sc_hd__or2_1 _1563_ (.A(_0649_), + .B(_0653_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0654_)); + sky130_fd_sc_hd__o22a_1 _1564_ (.A1(_0643_), + .A2(_0647_), + .B1(_0649_), + .B2(_0653_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0655_)); + sky130_fd_sc_hd__and2_1 _1565_ (.A(\intercon.wbs1_dat_i[3] ), + .B(_0610_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0656_)); + sky130_fd_sc_hd__or3_1 _1566_ (.A(\levenshtein_ctrl.best_idx[3] ), + .B(net55), + .C(net52), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0657_)); + sky130_fd_sc_hd__or3_1 _1567_ (.A(\levenshtein_ctrl.best_idx[11] ), + .B(net57), + .C(net52), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0658_)); + sky130_fd_sc_hd__or3_1 _1568_ (.A(\levenshtein_ctrl.best_distance[3] ), + .B(net55), + .C(net51), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0659_)); + sky130_fd_sc_hd__and4_1 _1569_ (.A(_0626_), + .B(_0657_), + .C(_0658_), + .D(_0659_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0660_)); + sky130_fd_sc_hd__or2_1 _1570_ (.A(_0656_), + .B(_0660_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0661_)); + sky130_fd_sc_hd__and2_1 _1571_ (.A(\intercon.wbs1_dat_i[7] ), + .B(_0610_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0662_)); + sky130_fd_sc_hd__or3_1 _1572_ (.A(\levenshtein_ctrl.best_idx[15] ), + .B(net58), + .C(net54), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0663_)); + sky130_fd_sc_hd__or3_1 _1573_ (.A(\levenshtein_ctrl.best_idx[7] ), + .B(net55), + .C(net52), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0664_)); + sky130_fd_sc_hd__or3_1 _1574_ (.A(\levenshtein_ctrl.best_distance[7] ), + .B(net55), + .C(net51), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0665_)); + sky130_fd_sc_hd__and4_1 _1575_ (.A(_0626_), + .B(_0663_), + .C(_0664_), + .D(_0665_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0666_)); + sky130_fd_sc_hd__or2_1 _1576_ (.A(_0662_), + .B(_0666_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0667_)); + sky130_fd_sc_hd__o22a_1 _1577_ (.A1(_0656_), + .A2(_0660_), + .B1(_0662_), + .B2(_0666_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0668_)); + sky130_fd_sc_hd__and3_1 _1578_ (.A(_0642_), + .B(_0655_), + .C(_0668_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0669_)); + sky130_fd_sc_hd__inv_2 _1579_ (.A(_0669_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0670_)); + sky130_fd_sc_hd__nor2_1 _1580_ (.A(net86), + .B(net66), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0671_)); + sky130_fd_sc_hd__o31a_1 _1581_ (.A1(_0594_), + .A2(_0669_), + .A3(_0671_), + .B1(net82), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0672_)); + sky130_fd_sc_hd__and2_1 _1582_ (.A(\levenshtein_ctrl.enabled ), + .B(net79), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0673_)); + sky130_fd_sc_hd__or3b_1 _1583_ (.A(_0672_), + .B(net64), + .C_N(net16), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0000_)); + sky130_fd_sc_hd__or2_1 _1584_ (.A(_0594_), + .B(net40), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0674_)); + sky130_fd_sc_hd__a32o_1 _1585_ (.A1(net76), + .A2(_0612_), + .A3(_0671_), + .B1(_0674_), + .B2(net17), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0675_)); + sky130_fd_sc_hd__and2_1 _1586_ (.A(net76), + .B(net83), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0676_)); + sky130_fd_sc_hd__and2_1 _1587_ (.A(net80), + .B(_0595_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0677_)); + sky130_fd_sc_hd__a32o_1 _1588_ (.A1(net82), + .A2(_0596_), + .A3(_0670_), + .B1(_0675_), + .B2(\levenshtein_ctrl.state[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0002_)); + sky130_fd_sc_hd__and3_4 _1589_ (.A(\levenshtein_ctrl.state[2] ), + .B(net90), + .C(_0595_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0678_)); + sky130_fd_sc_hd__a21o_1 _1590_ (.A1(\levenshtein_ctrl.state[1] ), + .A2(_0675_), + .B1(_0678_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0001_)); + sky130_fd_sc_hd__and4_1 _1591_ (.A(\uart.byte_counter[1] ), + .B(\uart.byte_counter[0] ), + .C(net106), + .D(net2), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0679_)); + sky130_fd_sc_hd__and3_1 _1592_ (.A(\uart.clk_counter[2] ), + .B(\uart.clk_counter[1] ), + .C(\uart.clk_counter[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0680_)); + sky130_fd_sc_hd__and2_1 _1593_ (.A(\uart.clk_counter[3] ), + .B(_0680_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0681_)); + sky130_fd_sc_hd__nand2_1 _1594_ (.A(\uart.clk_counter[3] ), + .B(_0680_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0682_)); + sky130_fd_sc_hd__nand2_1 _1595_ (.A(\uart.state[6] ), + .B(net50), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0683_)); + sky130_fd_sc_hd__a21oi_1 _1596_ (.A1(net107), + .A2(_0683_), + .B1(_0679_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0684_)); + sky130_fd_sc_hd__nand3b_1 _1597_ (.A_N(\uart.clk_counter[0] ), + .B(\uart.clk_counter[1] ), + .C(\uart.clk_counter[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0685_)); + sky130_fd_sc_hd__nor2_1 _1598_ (.A(_0586_), + .B(_0685_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0686_)); + sky130_fd_sc_hd__o211a_1 _1599_ (.A1(\uart.state[0] ), + .A2(_0686_), + .B1(net107), + .C1(net2), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0687_)); + sky130_fd_sc_hd__a311o_1 _1600_ (.A1(net107), + .A2(net136), + .A3(net50), + .B1(_0684_), + .C1(_0687_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0004_)); + sky130_fd_sc_hd__and2b_1 _1601_ (.A_N(\uart.clk_counter[3] ), + .B(_0680_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0688_)); + sky130_fd_sc_hd__o211ai_2 _1602_ (.A1(\intercon.wbs1_ack_i ), + .A2(\intercon.wbs0_ack_i ), + .B1(\intercon.wbm0_cyc_i ), + .C1(net68), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0689_)); + sky130_fd_sc_hd__nor3b_1 _1603_ (.A(_0689_), + .B(\uart.clk_counter[3] ), + .C_N(_0680_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0690_)); + sky130_fd_sc_hd__nor2_1 _1604_ (.A(_0587_), + .B(_0690_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0691_)); + sky130_fd_sc_hd__a32o_1 _1605_ (.A1(\uart.state[6] ), + .A2(_0679_), + .A3(net50), + .B1(_0691_), + .B2(net106), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0005_)); + sky130_fd_sc_hd__nor2_1 _1606_ (.A(net86), + .B(_0681_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0692_)); + sky130_fd_sc_hd__and3_1 _1607_ (.A(\uart.bit_counter[2] ), + .B(\uart.bit_counter[1] ), + .C(\uart.bit_counter[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0693_)); + sky130_fd_sc_hd__a21oi_1 _1608_ (.A1(net50), + .A2(_0693_), + .B1(net86), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0694_)); + sky130_fd_sc_hd__a32o_1 _1609_ (.A1(net107), + .A2(_0585_), + .A3(_0686_), + .B1(_0694_), + .B2(\uart.state[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0006_)); + sky130_fd_sc_hd__and4_1 _1610_ (.A(net106), + .B(\uart.state[3] ), + .C(net50), + .D(_0693_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0695_)); + sky130_fd_sc_hd__a21o_1 _1611_ (.A1(net136), + .A2(_0692_), + .B1(_0695_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0011_)); + sky130_fd_sc_hd__and3_1 _1612_ (.A(\uart.clk_counter[3] ), + .B(\uart.state[2] ), + .C(_0680_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0696_)); + sky130_fd_sc_hd__nand2_1 _1613_ (.A(\uart.state[2] ), + .B(net50), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0697_)); + sky130_fd_sc_hd__a32o_1 _1614_ (.A1(net106), + .A2(_0693_), + .A3(_0696_), + .B1(net243), + .B2(_0692_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0010_)); + sky130_fd_sc_hd__a32o_1 _1615_ (.A1(net106), + .A2(\uart.state[1] ), + .A3(net38), + .B1(_0692_), + .B2(net133), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0009_)); + sky130_fd_sc_hd__and3_1 _1616_ (.A(net107), + .B(\uart.state[4] ), + .C(_0685_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0698_)); + sky130_fd_sc_hd__a31o_1 _1617_ (.A1(net107), + .A2(_0585_), + .A3(net139), + .B1(_0698_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0008_)); + sky130_fd_sc_hd__a32o_1 _1618_ (.A1(net106), + .A2(net133), + .A3(net50), + .B1(_0694_), + .B2(\uart.state[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0007_)); + sky130_fd_sc_hd__a31o_1 _1619_ (.A1(net2), + .A2(\uart.state[6] ), + .A3(_0681_), + .B1(\uart.byte_counter[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0699_)); + sky130_fd_sc_hd__and4_1 _1620_ (.A(\uart.byte_counter[0] ), + .B(net2), + .C(\uart.state[6] ), + .D(net50), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0700_)); + sky130_fd_sc_hd__and3b_1 _1621_ (.A_N(_0700_), + .B(net107), + .C(_0699_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0012_)); + sky130_fd_sc_hd__a21oi_1 _1622_ (.A1(net141), + .A2(_0700_), + .B1(net87), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0701_)); + sky130_fd_sc_hd__o21a_1 _1623_ (.A1(net141), + .A2(_0700_), + .B1(_0701_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0013_)); + sky130_fd_sc_hd__or2_1 _1624_ (.A(\intercon.wbm0_adr_i[7] ), + .B(net49), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0702_)); + sky130_fd_sc_hd__o211a_1 _1625_ (.A1(\intercon.wbm0_dat_i[0] ), + .A2(net37), + .B1(_0702_), + .C1(net94), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0014_)); + sky130_fd_sc_hd__or2_1 _1626_ (.A(\intercon.wbm0_adr_i[6] ), + .B(net47), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0703_)); + sky130_fd_sc_hd__o211a_1 _1627_ (.A1(net201), + .A2(net37), + .B1(_0703_), + .C1(net94), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0015_)); + sky130_fd_sc_hd__or2_1 _1628_ (.A(\intercon.wbm0_adr_i[5] ), + .B(net47), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0704_)); + sky130_fd_sc_hd__o211a_1 _1629_ (.A1(net229), + .A2(net35), + .B1(_0704_), + .C1(net91), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0016_)); + sky130_fd_sc_hd__or2_1 _1630_ (.A(\intercon.wbm0_adr_i[4] ), + .B(net47), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0705_)); + sky130_fd_sc_hd__o211a_1 _1631_ (.A1(net204), + .A2(net35), + .B1(_0705_), + .C1(net91), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0017_)); + sky130_fd_sc_hd__or2_1 _1632_ (.A(\intercon.wbm0_adr_i[3] ), + .B(net47), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0706_)); + sky130_fd_sc_hd__o211a_1 _1633_ (.A1(net202), + .A2(net35), + .B1(_0706_), + .C1(net91), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0018_)); + sky130_fd_sc_hd__or2_1 _1634_ (.A(\intercon.wbm0_adr_i[2] ), + .B(net47), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0707_)); + sky130_fd_sc_hd__o211a_1 _1635_ (.A1(net209), + .A2(net35), + .B1(_0707_), + .C1(net94), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0019_)); + sky130_fd_sc_hd__or2_1 _1636_ (.A(\intercon.wbm0_adr_i[1] ), + .B(net47), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0708_)); + sky130_fd_sc_hd__o211a_1 _1637_ (.A1(net212), + .A2(net35), + .B1(_0708_), + .C1(net91), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0020_)); + sky130_fd_sc_hd__or2_1 _1638_ (.A(\intercon.wbm0_adr_i[0] ), + .B(net47), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0709_)); + sky130_fd_sc_hd__o211a_1 _1639_ (.A1(net197), + .A2(net35), + .B1(_0709_), + .C1(net91), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0021_)); + sky130_fd_sc_hd__or2_1 _1640_ (.A(\intercon.wbm0_adr_i[15] ), + .B(net47), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0710_)); + sky130_fd_sc_hd__o211a_1 _1641_ (.A1(net205), + .A2(net35), + .B1(_0710_), + .C1(net91), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0022_)); + sky130_fd_sc_hd__or2_1 _1642_ (.A(\intercon.wbm0_adr_i[14] ), + .B(net48), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0711_)); + sky130_fd_sc_hd__o211a_1 _1643_ (.A1(net238), + .A2(net36), + .B1(_0711_), + .C1(net92), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0023_)); + sky130_fd_sc_hd__or2_1 _1644_ (.A(\intercon.wbm0_adr_i[13] ), + .B(net48), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0712_)); + sky130_fd_sc_hd__o211a_1 _1645_ (.A1(net167), + .A2(net36), + .B1(_0712_), + .C1(net92), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0024_)); + sky130_fd_sc_hd__or2_1 _1646_ (.A(\intercon.wbm0_adr_i[12] ), + .B(net48), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0713_)); + sky130_fd_sc_hd__o211a_1 _1647_ (.A1(net146), + .A2(net36), + .B1(_0713_), + .C1(net92), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0025_)); + sky130_fd_sc_hd__or2_1 _1648_ (.A(\intercon.wbm0_adr_i[11] ), + .B(net48), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0714_)); + sky130_fd_sc_hd__o211a_1 _1649_ (.A1(net211), + .A2(net36), + .B1(_0714_), + .C1(net92), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0026_)); + sky130_fd_sc_hd__or2_1 _1650_ (.A(\intercon.wbm0_adr_i[10] ), + .B(net47), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0715_)); + sky130_fd_sc_hd__o211a_1 _1651_ (.A1(net161), + .A2(net36), + .B1(_0715_), + .C1(net92), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0027_)); + sky130_fd_sc_hd__or2_1 _1652_ (.A(\intercon.wbm0_adr_i[9] ), + .B(net47), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0716_)); + sky130_fd_sc_hd__o211a_1 _1653_ (.A1(net162), + .A2(net35), + .B1(_0716_), + .C1(net93), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0028_)); + sky130_fd_sc_hd__or2_1 _1654_ (.A(\intercon.wbm0_adr_i[8] ), + .B(net48), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0717_)); + sky130_fd_sc_hd__o211a_1 _1655_ (.A1(net210), + .A2(net35), + .B1(_0717_), + .C1(net92), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0029_)); + sky130_fd_sc_hd__or2_1 _1656_ (.A(\intercon.wbm0_we_i ), + .B(net49), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0718_)); + sky130_fd_sc_hd__o211a_1 _1657_ (.A1(net219), + .A2(net37), + .B1(_0718_), + .C1(net94), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0030_)); + sky130_fd_sc_hd__or2_1 _1658_ (.A(\intercon.wbm0_adr_i[22] ), + .B(net49), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0719_)); + sky130_fd_sc_hd__o211a_1 _1659_ (.A1(\intercon.wbm0_we_i ), + .A2(net37), + .B1(_0719_), + .C1(net94), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0031_)); + sky130_fd_sc_hd__or2_1 _1660_ (.A(\intercon.wbm0_adr_i[21] ), + .B(net48), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0720_)); + sky130_fd_sc_hd__o211a_1 _1661_ (.A1(net246), + .A2(net37), + .B1(_0720_), + .C1(net94), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0032_)); + sky130_fd_sc_hd__or2_1 _1662_ (.A(\intercon.wbm0_adr_i[20] ), + .B(net48), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0721_)); + sky130_fd_sc_hd__o211a_1 _1663_ (.A1(net236), + .A2(net35), + .B1(_0721_), + .C1(net95), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0033_)); + sky130_fd_sc_hd__or2_1 _1664_ (.A(\intercon.wbm0_adr_i[19] ), + .B(net49), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0722_)); + sky130_fd_sc_hd__o211a_1 _1665_ (.A1(net170), + .A2(net37), + .B1(_0722_), + .C1(net95), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0034_)); + sky130_fd_sc_hd__or2_1 _1666_ (.A(\intercon.wbm0_adr_i[18] ), + .B(net49), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0723_)); + sky130_fd_sc_hd__o211a_1 _1667_ (.A1(net181), + .A2(net37), + .B1(_0723_), + .C1(net95), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0035_)); + sky130_fd_sc_hd__or2_1 _1668_ (.A(\intercon.wbm0_adr_i[17] ), + .B(net48), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0724_)); + sky130_fd_sc_hd__o211a_1 _1669_ (.A1(net178), + .A2(net36), + .B1(_0724_), + .C1(net93), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0036_)); + sky130_fd_sc_hd__or2_1 _1670_ (.A(\intercon.wbm0_adr_i[16] ), + .B(net48), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0725_)); + sky130_fd_sc_hd__o211a_1 _1671_ (.A1(net187), + .A2(net36), + .B1(_0725_), + .C1(net93), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0037_)); + sky130_fd_sc_hd__or2_1 _1672_ (.A(\uart.state[3] ), + .B(\uart.state[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0726_)); + sky130_fd_sc_hd__nor2_1 _1673_ (.A(\uart.state[1] ), + .B(net61), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0727_)); + sky130_fd_sc_hd__or2_1 _1674_ (.A(\uart.state[1] ), + .B(net61), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0728_)); + sky130_fd_sc_hd__or2_1 _1675_ (.A(\uart.state[2] ), + .B(_0728_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0729_)); + sky130_fd_sc_hd__or3_1 _1676_ (.A(\uart.state[6] ), + .B(\uart.state[7] ), + .C(_0729_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0730_)); + sky130_fd_sc_hd__or2_1 _1677_ (.A(\uart.state[4] ), + .B(_0730_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0731_)); + sky130_fd_sc_hd__nand2_1 _1678_ (.A(_0680_), + .B(_0731_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0732_)); + sky130_fd_sc_hd__a32o_1 _1679_ (.A1(_0587_), + .A2(_0688_), + .A3(_0731_), + .B1(_0732_), + .B2(\uart.clk_counter[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0733_)); + sky130_fd_sc_hd__and2_1 _1680_ (.A(net106), + .B(_0733_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0038_)); + sky130_fd_sc_hd__a211o_1 _1681_ (.A1(\uart.state[3] ), + .A2(net50), + .B1(_0696_), + .C1(\uart.bit_counter[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0734_)); + sky130_fd_sc_hd__o211a_1 _1682_ (.A1(\uart.state[3] ), + .A2(\uart.state[2] ), + .B1(net50), + .C1(\uart.bit_counter[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0735_)); + sky130_fd_sc_hd__and3b_1 _1683_ (.A_N(_0735_), + .B(net103), + .C(_0734_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0039_)); + sky130_fd_sc_hd__and2_1 _1684_ (.A(\uart.bit_counter[1] ), + .B(_0735_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0736_)); + sky130_fd_sc_hd__o21ai_1 _1685_ (.A1(net169), + .A2(_0735_), + .B1(net104), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0737_)); + sky130_fd_sc_hd__nor2_1 _1686_ (.A(_0736_), + .B(_0737_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0040_)); + sky130_fd_sc_hd__a21oi_1 _1687_ (.A1(net144), + .A2(_0736_), + .B1(net87), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0738_)); + sky130_fd_sc_hd__o21a_1 _1688_ (.A1(net144), + .A2(_0736_), + .B1(_0738_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0041_)); + sky130_fd_sc_hd__a21boi_1 _1689_ (.A1(\uart.state[1] ), + .A2(_0688_), + .B1_N(\intercon.wbm0_cyc_i ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0739_)); + sky130_fd_sc_hd__and3_1 _1690_ (.A(\uart.state[1] ), + .B(_0688_), + .C(_0689_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0740_)); + sky130_fd_sc_hd__o21a_1 _1691_ (.A1(_0739_), + .A2(_0740_), + .B1(net106), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0042_)); + sky130_fd_sc_hd__a21oi_2 _1692_ (.A1(_0682_), + .A2(net61), + .B1(_0691_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0741_)); + sky130_fd_sc_hd__nand2_1 _1693_ (.A(_0728_), + .B(_0741_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0742_)); + sky130_fd_sc_hd__a22o_1 _1694_ (.A1(\uart.state[3] ), + .A2(_0693_), + .B1(net61), + .B2(\intercon.wbm0_dat_i[7] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0743_)); + sky130_fd_sc_hd__a221o_1 _1695_ (.A1(uo_out[4]), + .A2(_0742_), + .B1(_0743_), + .B2(_0741_), + .C1(net87), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0043_)); + sky130_fd_sc_hd__nor3_1 _1696_ (.A(\intercon.wbs1_ack_i ), + .B(_0607_), + .C(_0609_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0744_)); + sky130_fd_sc_hd__and2_1 _1697_ (.A(net95), + .B(net34), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0745_)); + sky130_fd_sc_hd__inv_2 _1698_ (.A(_0745_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0044_)); + sky130_fd_sc_hd__nor2_1 _1699_ (.A(_0616_), + .B(_0622_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0746_)); + sky130_fd_sc_hd__or2_2 _1700_ (.A(_0616_), + .B(_0622_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0747_)); + sky130_fd_sc_hd__and2_2 _1701_ (.A(net67), + .B(\intercon.wbm0_dat_i[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0748_)); + sky130_fd_sc_hd__or2_1 _1702_ (.A(_0747_), + .B(_0748_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0749_)); + sky130_fd_sc_hd__o211a_1 _1703_ (.A1(net235), + .A2(_0746_), + .B1(_0749_), + .C1(net100), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0045_)); + sky130_fd_sc_hd__and2_2 _1704_ (.A(net68), + .B(\intercon.wbm0_dat_i[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0750_)); + sky130_fd_sc_hd__or2_1 _1705_ (.A(_0747_), + .B(_0750_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0751_)); + sky130_fd_sc_hd__o211a_1 _1706_ (.A1(net237), + .A2(net23), + .B1(_0751_), + .C1(net100), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0046_)); + sky130_fd_sc_hd__and2_2 _1707_ (.A(net68), + .B(\intercon.wbm0_dat_i[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0752_)); + sky130_fd_sc_hd__or2_1 _1708_ (.A(_0747_), + .B(_0752_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0753_)); + sky130_fd_sc_hd__o211a_1 _1709_ (.A1(net220), + .A2(net23), + .B1(_0753_), + .C1(net100), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0047_)); + sky130_fd_sc_hd__and2_1 _1710_ (.A(net68), + .B(\intercon.wbm0_dat_i[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0754_)); + sky130_fd_sc_hd__or2_1 _1711_ (.A(_0747_), + .B(_0754_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0755_)); + sky130_fd_sc_hd__o211a_1 _1712_ (.A1(net232), + .A2(_0746_), + .B1(_0755_), + .C1(net97), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0048_)); + sky130_fd_sc_hd__and2_2 _1713_ (.A(net68), + .B(\intercon.wbm0_dat_i[4] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0756_)); + sky130_fd_sc_hd__or2_1 _1714_ (.A(_0747_), + .B(_0756_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0757_)); + sky130_fd_sc_hd__o211a_1 _1715_ (.A1(net234), + .A2(net23), + .B1(_0757_), + .C1(net99), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0049_)); + sky130_fd_sc_hd__and2_1 _1716_ (.A(net68), + .B(\intercon.wbm0_dat_i[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0758_)); + sky130_fd_sc_hd__or2_1 _1717_ (.A(_0747_), + .B(_0758_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0759_)); + sky130_fd_sc_hd__o211a_1 _1718_ (.A1(net194), + .A2(net23), + .B1(_0759_), + .C1(net99), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0050_)); + sky130_fd_sc_hd__and2_2 _1719_ (.A(net68), + .B(\intercon.wbm0_dat_i[6] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0760_)); + sky130_fd_sc_hd__or2_1 _1720_ (.A(_0747_), + .B(_0760_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0761_)); + sky130_fd_sc_hd__o211a_1 _1721_ (.A1(net222), + .A2(net23), + .B1(_0761_), + .C1(net99), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0051_)); + sky130_fd_sc_hd__and2_1 _1722_ (.A(net68), + .B(\intercon.wbm0_dat_i[7] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0762_)); + sky130_fd_sc_hd__or2_1 _1723_ (.A(_0747_), + .B(_0762_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0763_)); + sky130_fd_sc_hd__o211a_1 _1724_ (.A1(\levenshtein_ctrl.mask[15] ), + .A2(net23), + .B1(_0763_), + .C1(net99), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0052_)); + sky130_fd_sc_hd__nand2b_1 _1725_ (.A_N(_0613_), + .B(_0615_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0764_)); + sky130_fd_sc_hd__nor2_1 _1726_ (.A(_0605_), + .B(_0764_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0765_)); + sky130_fd_sc_hd__or2_2 _1727_ (.A(_0605_), + .B(_0764_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0766_)); + sky130_fd_sc_hd__or2_1 _1728_ (.A(_0748_), + .B(_0766_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0767_)); + sky130_fd_sc_hd__o211a_1 _1729_ (.A1(net184), + .A2(_0765_), + .B1(_0767_), + .C1(net100), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0053_)); + sky130_fd_sc_hd__or2_1 _1730_ (.A(_0750_), + .B(_0766_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0768_)); + sky130_fd_sc_hd__o211a_1 _1731_ (.A1(net186), + .A2(_0765_), + .B1(_0768_), + .C1(net100), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0054_)); + sky130_fd_sc_hd__or2_1 _1732_ (.A(_0752_), + .B(_0766_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0769_)); + sky130_fd_sc_hd__o211a_1 _1733_ (.A1(net206), + .A2(net22), + .B1(_0769_), + .C1(net100), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0055_)); + sky130_fd_sc_hd__or2_1 _1734_ (.A(_0754_), + .B(_0766_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0770_)); + sky130_fd_sc_hd__o211a_1 _1735_ (.A1(net199), + .A2(net22), + .B1(_0770_), + .C1(net97), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0056_)); + sky130_fd_sc_hd__or2_1 _1736_ (.A(_0756_), + .B(_0766_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0771_)); + sky130_fd_sc_hd__o211a_1 _1737_ (.A1(net185), + .A2(net22), + .B1(_0771_), + .C1(net99), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0057_)); + sky130_fd_sc_hd__or2_1 _1738_ (.A(_0758_), + .B(_0766_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0772_)); + sky130_fd_sc_hd__o211a_1 _1739_ (.A1(net215), + .A2(net22), + .B1(_0772_), + .C1(net99), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0058_)); + sky130_fd_sc_hd__or2_1 _1740_ (.A(_0760_), + .B(_0766_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0773_)); + sky130_fd_sc_hd__o211a_1 _1741_ (.A1(net190), + .A2(net22), + .B1(_0773_), + .C1(net97), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0059_)); + sky130_fd_sc_hd__or2_1 _1742_ (.A(_0762_), + .B(_0766_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0774_)); + sky130_fd_sc_hd__o211a_1 _1743_ (.A1(net213), + .A2(net22), + .B1(_0774_), + .C1(net97), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0060_)); + sky130_fd_sc_hd__o21ai_2 _1744_ (.A1(net81), + .A2(\levenshtein_ctrl.state[1] ), + .B1(_0596_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0775_)); + sky130_fd_sc_hd__a21o_1 _1745_ (.A1(net57), + .A2(net52), + .B1(\levenshtein_ctrl.best_idx[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0776_)); + sky130_fd_sc_hd__or3_1 _1746_ (.A(\levenshtein_ctrl.best_idx[8] ), + .B(net57), + .C(net53), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0777_)); + sky130_fd_sc_hd__a21o_1 _1747_ (.A1(\levenshtein_ctrl.best_distance[0] ), + .A2(net57), + .B1(net51), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0778_)); + sky130_fd_sc_hd__o211ai_2 _1748_ (.A1(_0621_), + .A2(_0776_), + .B1(_0777_), + .C1(_0778_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0779_)); + sky130_fd_sc_hd__o21bai_1 _1749_ (.A1(\levenshtein_ctrl.enabled ), + .A2(_0610_), + .B1_N(_0626_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0780_)); + sky130_fd_sc_hd__a2bb2oi_2 _1750_ (.A1_N(\intercon.wbs1_dat_i[0] ), + .A2_N(_0609_), + .B1(_0779_), + .B2(_0780_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0781_)); + sky130_fd_sc_hd__mux2_1 _1751_ (.A0(_0781_), + .A1(\levenshtein_ctrl.pm[0] ), + .S(net33), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0061_)); + sky130_fd_sc_hd__mux2_1 _1752_ (.A0(_0635_), + .A1(net227), + .S(net33), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0062_)); + sky130_fd_sc_hd__mux2_1 _1753_ (.A0(_0641_), + .A1(\levenshtein_ctrl.pm[2] ), + .S(net33), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0063_)); + sky130_fd_sc_hd__mux2_1 _1754_ (.A0(_0661_), + .A1(\levenshtein_ctrl.pm[3] ), + .S(_0775_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0064_)); + sky130_fd_sc_hd__mux2_1 _1755_ (.A0(_0648_), + .A1(\levenshtein_ctrl.pm[4] ), + .S(_0775_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0065_)); + sky130_fd_sc_hd__mux2_1 _1756_ (.A0(_0654_), + .A1(\levenshtein_ctrl.pm[5] ), + .S(_0775_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0066_)); + sky130_fd_sc_hd__mux2_1 _1757_ (.A0(_0630_), + .A1(\levenshtein_ctrl.pm[6] ), + .S(net33), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0067_)); + sky130_fd_sc_hd__mux2_1 _1758_ (.A0(_0667_), + .A1(\levenshtein_ctrl.pm[7] ), + .S(_0775_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0068_)); + sky130_fd_sc_hd__mux2_1 _1759_ (.A0(net244), + .A1(_0781_), + .S(_0678_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0069_)); + sky130_fd_sc_hd__mux2_1 _1760_ (.A0(net198), + .A1(_0635_), + .S(_0678_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0070_)); + sky130_fd_sc_hd__mux2_1 _1761_ (.A0(net191), + .A1(_0641_), + .S(_0678_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0071_)); + sky130_fd_sc_hd__mux2_1 _1762_ (.A0(net171), + .A1(_0661_), + .S(_0678_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0072_)); + sky130_fd_sc_hd__mux2_1 _1763_ (.A0(net241), + .A1(_0648_), + .S(_0678_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0073_)); + sky130_fd_sc_hd__mux2_1 _1764_ (.A0(net225), + .A1(_0654_), + .S(_0678_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0074_)); + sky130_fd_sc_hd__mux2_1 _1765_ (.A0(net154), + .A1(_0630_), + .S(_0678_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0075_)); + sky130_fd_sc_hd__mux2_1 _1766_ (.A0(net214), + .A1(_0667_), + .S(_0678_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0076_)); + sky130_fd_sc_hd__a21o_1 _1767_ (.A1(\levenshtein_ctrl.dict_address[0] ), + .A2(net25), + .B1(_0677_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0782_)); + sky130_fd_sc_hd__and3_1 _1768_ (.A(\levenshtein_ctrl.dict_address[0] ), + .B(net80), + .C(_0595_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0783_)); + sky130_fd_sc_hd__and3b_1 _1769_ (.A_N(_0783_), + .B(net89), + .C(_0782_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0077_)); + sky130_fd_sc_hd__a21o_1 _1770_ (.A1(\levenshtein_ctrl.dict_address[1] ), + .A2(net25), + .B1(_0783_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0784_)); + sky130_fd_sc_hd__and2_1 _1771_ (.A(\levenshtein_ctrl.dict_address[0] ), + .B(\levenshtein_ctrl.dict_address[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0785_)); + sky130_fd_sc_hd__nand2_1 _1772_ (.A(\levenshtein_ctrl.dict_address[1] ), + .B(_0783_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0786_)); + sky130_fd_sc_hd__and3_1 _1773_ (.A(net89), + .B(_0784_), + .C(_0786_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0078_)); + sky130_fd_sc_hd__nand2_1 _1774_ (.A(net155), + .B(net26), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0787_)); + sky130_fd_sc_hd__and4_1 _1775_ (.A(net80), + .B(\levenshtein_ctrl.dict_address[2] ), + .C(_0595_), + .D(_0785_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0788_)); + sky130_fd_sc_hd__a211oi_1 _1776_ (.A1(_0786_), + .A2(_0787_), + .B1(_0788_), + .C1(net85), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0079_)); + sky130_fd_sc_hd__a21o_1 _1777_ (.A1(\levenshtein_ctrl.dict_address[3] ), + .A2(net26), + .B1(_0788_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0789_)); + sky130_fd_sc_hd__and2_1 _1778_ (.A(\levenshtein_ctrl.dict_address[3] ), + .B(_0788_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0790_)); + sky130_fd_sc_hd__and3b_1 _1779_ (.A_N(_0790_), + .B(net91), + .C(_0789_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0080_)); + sky130_fd_sc_hd__a21o_1 _1780_ (.A1(\levenshtein_ctrl.dict_address[4] ), + .A2(net26), + .B1(_0790_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0791_)); + sky130_fd_sc_hd__nand2_1 _1781_ (.A(\levenshtein_ctrl.dict_address[4] ), + .B(_0790_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0792_)); + sky130_fd_sc_hd__and3_1 _1782_ (.A(net91), + .B(_0791_), + .C(_0792_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0081_)); + sky130_fd_sc_hd__nand2_1 _1783_ (.A(net152), + .B(net26), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0793_)); + sky130_fd_sc_hd__and4_1 _1784_ (.A(\levenshtein_ctrl.dict_address[3] ), + .B(\levenshtein_ctrl.dict_address[4] ), + .C(\levenshtein_ctrl.dict_address[5] ), + .D(_0788_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0794_)); + sky130_fd_sc_hd__a211oi_1 _1785_ (.A1(_0792_), + .A2(_0793_), + .B1(_0794_), + .C1(net85), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0082_)); + sky130_fd_sc_hd__a21o_1 _1786_ (.A1(\levenshtein_ctrl.dict_address[6] ), + .A2(net26), + .B1(_0794_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0795_)); + sky130_fd_sc_hd__nand2_1 _1787_ (.A(\levenshtein_ctrl.dict_address[6] ), + .B(_0794_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0796_)); + sky130_fd_sc_hd__and3_1 _1788_ (.A(net91), + .B(_0795_), + .C(_0796_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0083_)); + sky130_fd_sc_hd__nand2_1 _1789_ (.A(net159), + .B(net26), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0797_)); + sky130_fd_sc_hd__and3_1 _1790_ (.A(\levenshtein_ctrl.dict_address[6] ), + .B(\levenshtein_ctrl.dict_address[7] ), + .C(_0794_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0798_)); + sky130_fd_sc_hd__a211oi_1 _1791_ (.A1(_0796_), + .A2(_0797_), + .B1(_0798_), + .C1(net85), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0084_)); + sky130_fd_sc_hd__a21o_1 _1792_ (.A1(\levenshtein_ctrl.dict_address[8] ), + .A2(net26), + .B1(_0798_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0799_)); + sky130_fd_sc_hd__nand2_1 _1793_ (.A(\levenshtein_ctrl.dict_address[8] ), + .B(_0798_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0800_)); + sky130_fd_sc_hd__and3_1 _1794_ (.A(net91), + .B(_0799_), + .C(_0800_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0085_)); + sky130_fd_sc_hd__nand2_1 _1795_ (.A(net174), + .B(net26), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0801_)); + sky130_fd_sc_hd__and3_1 _1796_ (.A(\levenshtein_ctrl.dict_address[8] ), + .B(\levenshtein_ctrl.dict_address[9] ), + .C(_0798_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0802_)); + sky130_fd_sc_hd__a211oi_1 _1797_ (.A1(_0800_), + .A2(_0801_), + .B1(_0802_), + .C1(net86), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0086_)); + sky130_fd_sc_hd__a21o_1 _1798_ (.A1(\levenshtein_ctrl.dict_address[10] ), + .A2(net26), + .B1(_0802_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0803_)); + sky130_fd_sc_hd__nand2_1 _1799_ (.A(\levenshtein_ctrl.dict_address[10] ), + .B(_0802_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0804_)); + sky130_fd_sc_hd__and3_1 _1800_ (.A(net92), + .B(_0803_), + .C(_0804_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0087_)); + sky130_fd_sc_hd__nand2_1 _1801_ (.A(net148), + .B(net26), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0805_)); + sky130_fd_sc_hd__and3_1 _1802_ (.A(\levenshtein_ctrl.dict_address[10] ), + .B(\levenshtein_ctrl.dict_address[11] ), + .C(_0802_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0806_)); + sky130_fd_sc_hd__a211oi_1 _1803_ (.A1(_0804_), + .A2(_0805_), + .B1(_0806_), + .C1(net86), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0088_)); + sky130_fd_sc_hd__a21o_1 _1804_ (.A1(\levenshtein_ctrl.dict_address[12] ), + .A2(net27), + .B1(_0806_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0807_)); + sky130_fd_sc_hd__nand2_1 _1805_ (.A(\levenshtein_ctrl.dict_address[12] ), + .B(_0806_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0808_)); + sky130_fd_sc_hd__and3_1 _1806_ (.A(net92), + .B(_0807_), + .C(_0808_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0089_)); + sky130_fd_sc_hd__nand2_1 _1807_ (.A(net150), + .B(net27), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0809_)); + sky130_fd_sc_hd__and3_1 _1808_ (.A(\levenshtein_ctrl.dict_address[12] ), + .B(\levenshtein_ctrl.dict_address[13] ), + .C(_0806_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0810_)); + sky130_fd_sc_hd__a211oi_1 _1809_ (.A1(_0808_), + .A2(_0809_), + .B1(_0810_), + .C1(net86), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0090_)); + sky130_fd_sc_hd__a21o_1 _1810_ (.A1(\levenshtein_ctrl.dict_address[14] ), + .A2(net27), + .B1(_0810_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0811_)); + sky130_fd_sc_hd__and2_1 _1811_ (.A(\levenshtein_ctrl.dict_address[14] ), + .B(_0810_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0812_)); + sky130_fd_sc_hd__and3b_1 _1812_ (.A_N(_0812_), + .B(net92), + .C(_0811_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0091_)); + sky130_fd_sc_hd__a21oi_1 _1813_ (.A1(\levenshtein_ctrl.dict_address[15] ), + .A2(net27), + .B1(_0812_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0813_)); + sky130_fd_sc_hd__a211oi_1 _1814_ (.A1(net164), + .A2(_0812_), + .B1(_0813_), + .C1(net85), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0092_)); + sky130_fd_sc_hd__a22o_1 _1815_ (.A1(\levenshtein_ctrl.dict_address[16] ), + .A2(net27), + .B1(_0812_), + .B2(\levenshtein_ctrl.dict_address[15] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0814_)); + sky130_fd_sc_hd__and3_1 _1816_ (.A(\levenshtein_ctrl.dict_address[15] ), + .B(\levenshtein_ctrl.dict_address[16] ), + .C(_0812_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0815_)); + sky130_fd_sc_hd__and3b_1 _1817_ (.A_N(_0815_), + .B(net92), + .C(_0814_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0093_)); + sky130_fd_sc_hd__a21o_1 _1818_ (.A1(\levenshtein_ctrl.dict_address[17] ), + .A2(net27), + .B1(_0815_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0816_)); + sky130_fd_sc_hd__and2_1 _1819_ (.A(\levenshtein_ctrl.dict_address[17] ), + .B(_0815_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0817_)); + sky130_fd_sc_hd__and3b_1 _1820_ (.A_N(_0817_), + .B(net93), + .C(_0816_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0094_)); + sky130_fd_sc_hd__a21o_1 _1821_ (.A1(\levenshtein_ctrl.dict_address[18] ), + .A2(net27), + .B1(_0817_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0818_)); + sky130_fd_sc_hd__and3_1 _1822_ (.A(\levenshtein_ctrl.dict_address[17] ), + .B(\levenshtein_ctrl.dict_address[18] ), + .C(_0815_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0819_)); + sky130_fd_sc_hd__and3b_1 _1823_ (.A_N(_0819_), + .B(net93), + .C(_0818_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0095_)); + sky130_fd_sc_hd__a21o_1 _1824_ (.A1(\levenshtein_ctrl.dict_address[19] ), + .A2(net28), + .B1(_0819_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0820_)); + sky130_fd_sc_hd__and2_1 _1825_ (.A(\levenshtein_ctrl.dict_address[19] ), + .B(_0819_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0821_)); + sky130_fd_sc_hd__and3b_1 _1826_ (.A_N(_0821_), + .B(net95), + .C(_0820_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0096_)); + sky130_fd_sc_hd__a21o_1 _1827_ (.A1(\levenshtein_ctrl.dict_address[20] ), + .A2(net28), + .B1(_0821_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0822_)); + sky130_fd_sc_hd__nand2_1 _1828_ (.A(net239), + .B(_0821_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0823_)); + sky130_fd_sc_hd__and3_1 _1829_ (.A(net95), + .B(_0822_), + .C(_0823_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0097_)); + sky130_fd_sc_hd__o31a_1 _1830_ (.A1(\levenshtein_ctrl.state[2] ), + .A2(net81), + .A3(\levenshtein_ctrl.state[1] ), + .B1(\levenshtein_ctrl.enabled ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0824_)); + sky130_fd_sc_hd__o21ai_1 _1831_ (.A1(net81), + .A2(\levenshtein_ctrl.state[1] ), + .B1(_0595_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0825_)); + sky130_fd_sc_hd__o211a_1 _1832_ (.A1(net76), + .A2(_0824_), + .B1(_0825_), + .C1(net90), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0098_)); + sky130_fd_sc_hd__nand4_1 _1833_ (.A(net66), + .B(_0669_), + .C(_0676_), + .D(_0781_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0826_)); + sky130_fd_sc_hd__mux2_1 _1834_ (.A0(_0748_), + .A1(\levenshtein_ctrl.enabled ), + .S(net29), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0827_)); + sky130_fd_sc_hd__and3_1 _1835_ (.A(net97), + .B(_0826_), + .C(_0827_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0099_)); + sky130_fd_sc_hd__nor2_1 _1836_ (.A(_0627_), + .B(_0764_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0828_)); + sky130_fd_sc_hd__or2_2 _1837_ (.A(_0627_), + .B(_0764_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0829_)); + sky130_fd_sc_hd__or2_1 _1838_ (.A(_0748_), + .B(_0829_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0830_)); + sky130_fd_sc_hd__o211a_1 _1839_ (.A1(net217), + .A2(net21), + .B1(_0830_), + .C1(net98), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0100_)); + sky130_fd_sc_hd__or2_1 _1840_ (.A(_0750_), + .B(_0829_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0831_)); + sky130_fd_sc_hd__o211a_1 _1841_ (.A1(net163), + .A2(_0828_), + .B1(_0831_), + .C1(net98), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0101_)); + sky130_fd_sc_hd__or2_1 _1842_ (.A(_0752_), + .B(_0829_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0832_)); + sky130_fd_sc_hd__o211a_1 _1843_ (.A1(net176), + .A2(_0828_), + .B1(_0832_), + .C1(net98), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0102_)); + sky130_fd_sc_hd__or2_1 _1844_ (.A(_0754_), + .B(_0829_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0833_)); + sky130_fd_sc_hd__o211a_1 _1845_ (.A1(net166), + .A2(net21), + .B1(_0833_), + .C1(net98), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0103_)); + sky130_fd_sc_hd__or2_1 _1846_ (.A(_0756_), + .B(_0829_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0834_)); + sky130_fd_sc_hd__o211a_1 _1847_ (.A1(net168), + .A2(net21), + .B1(_0834_), + .C1(net100), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0104_)); + sky130_fd_sc_hd__or2_1 _1848_ (.A(_0758_), + .B(_0829_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0835_)); + sky130_fd_sc_hd__o211a_1 _1849_ (.A1(net165), + .A2(net21), + .B1(_0835_), + .C1(net105), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0105_)); + sky130_fd_sc_hd__or2_1 _1850_ (.A(_0760_), + .B(_0829_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0836_)); + sky130_fd_sc_hd__o211a_1 _1851_ (.A1(net180), + .A2(net21), + .B1(_0836_), + .C1(net100), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0106_)); + sky130_fd_sc_hd__or2_1 _1852_ (.A(_0762_), + .B(_0829_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0837_)); + sky130_fd_sc_hd__o211a_1 _1853_ (.A1(net172), + .A2(net21), + .B1(_0837_), + .C1(net100), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0107_)); + sky130_fd_sc_hd__nor2_1 _1854_ (.A(_0616_), + .B(_0624_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0838_)); + sky130_fd_sc_hd__or2_2 _1855_ (.A(_0616_), + .B(_0624_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0839_)); + sky130_fd_sc_hd__or2_1 _1856_ (.A(_0748_), + .B(_0839_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0840_)); + sky130_fd_sc_hd__o211a_1 _1857_ (.A1(net224), + .A2(_0838_), + .B1(_0840_), + .C1(net103), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0108_)); + sky130_fd_sc_hd__or2_1 _1858_ (.A(_0750_), + .B(_0839_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0841_)); + sky130_fd_sc_hd__o211a_1 _1859_ (.A1(net231), + .A2(_0838_), + .B1(_0841_), + .C1(net103), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0109_)); + sky130_fd_sc_hd__or2_1 _1860_ (.A(_0752_), + .B(_0839_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0842_)); + sky130_fd_sc_hd__o211a_1 _1861_ (.A1(net189), + .A2(net20), + .B1(_0842_), + .C1(net103), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0110_)); + sky130_fd_sc_hd__or2_1 _1862_ (.A(_0754_), + .B(_0839_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0843_)); + sky130_fd_sc_hd__o211a_1 _1863_ (.A1(net218), + .A2(net20), + .B1(_0843_), + .C1(net105), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0111_)); + sky130_fd_sc_hd__or2_1 _1864_ (.A(_0756_), + .B(_0839_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0844_)); + sky130_fd_sc_hd__o211a_1 _1865_ (.A1(net223), + .A2(net20), + .B1(_0844_), + .C1(net105), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0112_)); + sky130_fd_sc_hd__or2_1 _1866_ (.A(_0758_), + .B(_0839_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0845_)); + sky130_fd_sc_hd__o211a_1 _1867_ (.A1(net216), + .A2(net20), + .B1(_0845_), + .C1(net105), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0113_)); + sky130_fd_sc_hd__or2_1 _1868_ (.A(_0760_), + .B(_0839_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0846_)); + sky130_fd_sc_hd__o211a_1 _1869_ (.A1(net203), + .A2(net20), + .B1(_0846_), + .C1(net108), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0114_)); + sky130_fd_sc_hd__or2_1 _1870_ (.A(_0762_), + .B(_0839_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0847_)); + sky130_fd_sc_hd__o211a_1 _1871_ (.A1(net221), + .A2(net20), + .B1(_0847_), + .C1(net108), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0115_)); + sky130_fd_sc_hd__nor2_1 _1872_ (.A(_0616_), + .B(_0627_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0848_)); + sky130_fd_sc_hd__or2_1 _1873_ (.A(_0616_), + .B(_0627_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0849_)); + sky130_fd_sc_hd__or2_1 _1874_ (.A(_0748_), + .B(_0849_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0850_)); + sky130_fd_sc_hd__o211a_1 _1875_ (.A1(net177), + .A2(_0848_), + .B1(_0850_), + .C1(net98), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0116_)); + sky130_fd_sc_hd__or2_1 _1876_ (.A(_0750_), + .B(_0849_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0851_)); + sky130_fd_sc_hd__o211a_1 _1877_ (.A1(net179), + .A2(_0848_), + .B1(_0851_), + .C1(net96), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0117_)); + sky130_fd_sc_hd__or2_1 _1878_ (.A(_0752_), + .B(_0849_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0852_)); + sky130_fd_sc_hd__o211a_1 _1879_ (.A1(net200), + .A2(net19), + .B1(_0852_), + .C1(net98), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0118_)); + sky130_fd_sc_hd__or2_1 _1880_ (.A(_0754_), + .B(_0849_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0853_)); + sky130_fd_sc_hd__o211a_1 _1881_ (.A1(net195), + .A2(net19), + .B1(_0853_), + .C1(net97), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0119_)); + sky130_fd_sc_hd__or2_1 _1882_ (.A(_0756_), + .B(_0849_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0854_)); + sky130_fd_sc_hd__o211a_1 _1883_ (.A1(net183), + .A2(net19), + .B1(_0854_), + .C1(net97), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0120_)); + sky130_fd_sc_hd__nor2_1 _1884_ (.A(net86), + .B(_0612_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0121_)); + sky130_fd_sc_hd__o21ba_1 _1885_ (.A1(net76), + .A2(\intercon.wbm0_cyc_i ), + .B1_N(\intercon.arbiter.cyc_o ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0855_)); + sky130_fd_sc_hd__o21a_1 _1886_ (.A1(_0608_), + .A2(_0855_), + .B1(net94), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0122_)); + sky130_fd_sc_hd__nor2_1 _1887_ (.A(\intercon.wbm0_we_i ), + .B(_0587_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0856_)); + sky130_fd_sc_hd__and2_1 _1888_ (.A(_0781_), + .B(net45), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0857_)); + sky130_fd_sc_hd__nand2_1 _1889_ (.A(\uart.state[2] ), + .B(_0682_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0858_)); + sky130_fd_sc_hd__and3_2 _1890_ (.A(_0729_), + .B(_0741_), + .C(_0858_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0859_)); + sky130_fd_sc_hd__nand3_2 _1891_ (.A(_0729_), + .B(_0741_), + .C(_0858_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0860_)); + sky130_fd_sc_hd__a221o_1 _1892_ (.A1(\intercon.wbm0_dat_i[6] ), + .A2(_0726_), + .B1(net46), + .B2(net2), + .C1(_0860_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0861_)); + sky130_fd_sc_hd__o221a_1 _1893_ (.A1(\intercon.wbm0_dat_i[7] ), + .A2(_0859_), + .B1(_0861_), + .B2(_0857_), + .C1(net104), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0123_)); + sky130_fd_sc_hd__and2_1 _1894_ (.A(_0635_), + .B(net45), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0862_)); + sky130_fd_sc_hd__a221o_1 _1895_ (.A1(\intercon.wbm0_dat_i[5] ), + .A2(net61), + .B1(net46), + .B2(\intercon.wbm0_dat_i[7] ), + .C1(_0860_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0863_)); + sky130_fd_sc_hd__o221a_1 _1896_ (.A1(\intercon.wbm0_dat_i[6] ), + .A2(_0859_), + .B1(_0862_), + .B2(_0863_), + .C1(net104), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0124_)); + sky130_fd_sc_hd__and2_1 _1897_ (.A(_0641_), + .B(net45), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0864_)); + sky130_fd_sc_hd__a221o_1 _1898_ (.A1(\intercon.wbm0_dat_i[4] ), + .A2(net61), + .B1(_0727_), + .B2(\intercon.wbm0_dat_i[6] ), + .C1(_0860_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0865_)); + sky130_fd_sc_hd__o221a_1 _1899_ (.A1(\intercon.wbm0_dat_i[5] ), + .A2(_0859_), + .B1(_0864_), + .B2(_0865_), + .C1(net104), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0125_)); + sky130_fd_sc_hd__and2_1 _1900_ (.A(_0661_), + .B(net45), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0866_)); + sky130_fd_sc_hd__a221o_1 _1901_ (.A1(\intercon.wbm0_dat_i[3] ), + .A2(net61), + .B1(_0727_), + .B2(\intercon.wbm0_dat_i[5] ), + .C1(_0860_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0867_)); + sky130_fd_sc_hd__o221a_1 _1902_ (.A1(\intercon.wbm0_dat_i[4] ), + .A2(_0859_), + .B1(_0866_), + .B2(_0867_), + .C1(net104), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0126_)); + sky130_fd_sc_hd__and2_1 _1903_ (.A(_0648_), + .B(net45), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0868_)); + sky130_fd_sc_hd__a221o_1 _1904_ (.A1(\intercon.wbm0_dat_i[2] ), + .A2(net61), + .B1(_0727_), + .B2(\intercon.wbm0_dat_i[4] ), + .C1(_0860_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0869_)); + sky130_fd_sc_hd__o221a_1 _1905_ (.A1(\intercon.wbm0_dat_i[3] ), + .A2(_0859_), + .B1(_0868_), + .B2(_0869_), + .C1(net104), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0127_)); + sky130_fd_sc_hd__and2_1 _1906_ (.A(_0654_), + .B(_0856_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0870_)); + sky130_fd_sc_hd__a221o_1 _1907_ (.A1(\intercon.wbm0_dat_i[1] ), + .A2(net61), + .B1(net46), + .B2(\intercon.wbm0_dat_i[3] ), + .C1(_0860_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0871_)); + sky130_fd_sc_hd__o221a_1 _1908_ (.A1(\intercon.wbm0_dat_i[2] ), + .A2(_0859_), + .B1(_0870_), + .B2(_0871_), + .C1(net104), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0128_)); + sky130_fd_sc_hd__and2_1 _1909_ (.A(_0630_), + .B(_0856_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0872_)); + sky130_fd_sc_hd__a221o_1 _1910_ (.A1(\intercon.wbm0_dat_i[0] ), + .A2(net61), + .B1(net46), + .B2(\intercon.wbm0_dat_i[2] ), + .C1(_0860_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0873_)); + sky130_fd_sc_hd__o221a_1 _1911_ (.A1(net248), + .A2(_0859_), + .B1(_0872_), + .B2(_0873_), + .C1(net104), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0129_)); + sky130_fd_sc_hd__mux2_1 _1912_ (.A0(\levenshtein_ctrl.initial_vp[0] ), + .A1(\levenshtein_ctrl.vp[0] ), + .S(net29), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0874_)); + sky130_fd_sc_hd__and2_1 _1913_ (.A(net83), + .B(\levenshtein_ctrl.enabled ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0875_)); + sky130_fd_sc_hd__nor2_1 _1914_ (.A(net62), + .B(_0875_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0876_)); + sky130_fd_sc_hd__or2_1 _1915_ (.A(net62), + .B(_0875_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0877_)); + sky130_fd_sc_hd__and4b_2 _1916_ (.A_N(_0781_), + .B(_0668_), + .C(_0655_), + .D(_0642_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0878_)); + sky130_fd_sc_hd__nand2_4 _1917_ (.A(_0593_), + .B(_0878_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0879_)); + sky130_fd_sc_hd__nor2_1 _1918_ (.A(_0571_), + .B(_0879_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Y(_0880_)); + sky130_fd_sc_hd__or2_2 _1919_ (.A(_0571_), + .B(_0879_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0881_)); + sky130_fd_sc_hd__a21o_1 _1920_ (.A1(net83), + .A2(_0881_), + .B1(net43), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0882_)); + sky130_fd_sc_hd__a32o_1 _1921_ (.A1(\levenshtein_ctrl.initial_vp[0] ), + .A2(_0875_), + .A3(_0880_), + .B1(_0882_), + .B2(_0874_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0883_)); + sky130_fd_sc_hd__and2_1 _1922_ (.A(net98), + .B(_0883_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0130_)); + sky130_fd_sc_hd__mux2_1 _1923_ (.A0(\levenshtein_ctrl.initial_vp[1] ), + .A1(\levenshtein_ctrl.vp[1] ), + .S(net31), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0884_)); + sky130_fd_sc_hd__and3_1 _1924_ (.A(\levenshtein_ctrl.initial_vp[1] ), + .B(net66), + .C(net18), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0885_)); + sky130_fd_sc_hd__a21o_1 _1925_ (.A1(_0879_), + .A2(_0884_), + .B1(_0571_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(_0886_)); + sky130_fd_sc_hd__dfxtp_1 _1926_ (.CLK(clknet_leaf_5_clk), + .D(_0012_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\uart.byte_counter[0] )); + sky130_fd_sc_hd__dfxtp_1 _1927_ (.CLK(clknet_leaf_5_clk), + .D(_0013_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\uart.byte_counter[1] )); + sky130_fd_sc_hd__dfxtp_1 _1928_ (.CLK(clknet_leaf_3_clk), + .D(_0014_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm0_adr_i[7] )); + sky130_fd_sc_hd__dfxtp_1 _1929_ (.CLK(clknet_leaf_1_clk), + .D(_0015_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm0_adr_i[6] )); + sky130_fd_sc_hd__dfxtp_1 _1930_ (.CLK(clknet_leaf_1_clk), + .D(_0016_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm0_adr_i[5] )); + sky130_fd_sc_hd__dfxtp_1 _1931_ (.CLK(clknet_leaf_1_clk), + .D(_0017_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm0_adr_i[4] )); + sky130_fd_sc_hd__dfxtp_1 _1932_ (.CLK(clknet_leaf_1_clk), + .D(_0018_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm0_adr_i[3] )); + sky130_fd_sc_hd__dfxtp_1 _1933_ (.CLK(clknet_leaf_1_clk), + .D(_0019_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm0_adr_i[2] )); + sky130_fd_sc_hd__dfxtp_1 _1934_ (.CLK(clknet_leaf_1_clk), + .D(_0020_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm0_adr_i[1] )); + sky130_fd_sc_hd__dfxtp_1 _1935_ (.CLK(clknet_leaf_1_clk), + .D(_0021_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm0_adr_i[0] )); + sky130_fd_sc_hd__dfxtp_1 _1936_ (.CLK(clknet_leaf_1_clk), + .D(_0022_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm0_adr_i[15] )); + sky130_fd_sc_hd__dfxtp_1 _1937_ (.CLK(clknet_leaf_2_clk), + .D(_0023_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm0_adr_i[14] )); + sky130_fd_sc_hd__dfxtp_1 _1938_ (.CLK(clknet_leaf_2_clk), + .D(_0024_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm0_adr_i[13] )); + sky130_fd_sc_hd__dfxtp_1 _1939_ (.CLK(clknet_leaf_1_clk), + .D(_0025_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm0_adr_i[12] )); + sky130_fd_sc_hd__dfxtp_1 _1940_ (.CLK(clknet_leaf_2_clk), + .D(_0026_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm0_adr_i[11] )); + sky130_fd_sc_hd__dfxtp_1 _1941_ (.CLK(clknet_leaf_1_clk), + .D(_0027_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm0_adr_i[10] )); + sky130_fd_sc_hd__dfxtp_1 _1942_ (.CLK(clknet_leaf_1_clk), + .D(_0028_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm0_adr_i[9] )); + sky130_fd_sc_hd__dfxtp_1 _1943_ (.CLK(clknet_leaf_1_clk), + .D(_0029_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm0_adr_i[8] )); + sky130_fd_sc_hd__dfxtp_2 _1944_ (.CLK(clknet_leaf_3_clk), + .D(_0030_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm0_we_i )); + sky130_fd_sc_hd__dfxtp_1 _1945_ (.CLK(clknet_leaf_1_clk), + .D(_0031_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm0_adr_i[22] )); + sky130_fd_sc_hd__dfxtp_1 _1946_ (.CLK(clknet_leaf_1_clk), + .D(_0032_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm0_adr_i[21] )); + sky130_fd_sc_hd__dfxtp_1 _1947_ (.CLK(clknet_leaf_2_clk), + .D(_0033_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm0_adr_i[20] )); + sky130_fd_sc_hd__dfxtp_1 _1948_ (.CLK(clknet_leaf_2_clk), + .D(_0034_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm0_adr_i[19] )); + sky130_fd_sc_hd__dfxtp_1 _1949_ (.CLK(clknet_leaf_2_clk), + .D(_0035_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm0_adr_i[18] )); + sky130_fd_sc_hd__dfxtp_1 _1950_ (.CLK(clknet_leaf_2_clk), + .D(_0036_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm0_adr_i[17] )); + sky130_fd_sc_hd__dfxtp_1 _1951_ (.CLK(clknet_leaf_2_clk), + .D(net188), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm0_adr_i[16] )); + sky130_fd_sc_hd__dfxtp_1 _1952_ (.CLK(clknet_leaf_4_clk), + .D(_0038_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\uart.clk_counter[3] )); + sky130_fd_sc_hd__dfxtp_1 _1953_ (.CLK(clknet_leaf_4_clk), + .D(_0039_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\uart.bit_counter[0] )); + sky130_fd_sc_hd__dfxtp_1 _1954_ (.CLK(clknet_leaf_4_clk), + .D(_0040_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\uart.bit_counter[1] )); + sky130_fd_sc_hd__dfxtp_1 _1955_ (.CLK(clknet_leaf_4_clk), + .D(_0041_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\uart.bit_counter[2] )); + sky130_fd_sc_hd__dfxtp_1 _1956_ (.CLK(clknet_leaf_5_clk), + .D(_0042_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm0_cyc_i )); + sky130_fd_sc_hd__dfxtp_2 _1957_ (.CLK(clknet_leaf_4_clk), + .D(_0043_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(uo_out[4])); + sky130_fd_sc_hd__dfxtp_1 _1958_ (.CLK(clknet_leaf_5_clk), + .D(_0004_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\uart.state[0] )); + sky130_fd_sc_hd__dfxtp_1 _1959_ (.CLK(clknet_leaf_4_clk), + .D(_0005_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\uart.state[1] )); + sky130_fd_sc_hd__dfxtp_1 _1960_ (.CLK(clknet_leaf_5_clk), + .D(_0006_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\uart.state[2] )); + sky130_fd_sc_hd__dfxtp_1 _1961_ (.CLK(clknet_leaf_4_clk), + .D(_0007_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\uart.state[3] )); + sky130_fd_sc_hd__dfxtp_1 _1962_ (.CLK(clknet_leaf_5_clk), + .D(_0008_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\uart.state[4] )); + sky130_fd_sc_hd__dfxtp_1 _1963_ (.CLK(clknet_leaf_4_clk), + .D(_0009_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\uart.state[5] )); + sky130_fd_sc_hd__dfxtp_1 _1964_ (.CLK(clknet_leaf_5_clk), + .D(_0010_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\uart.state[6] )); + sky130_fd_sc_hd__dfxtp_1 _1965_ (.CLK(clknet_leaf_5_clk), + .D(_0011_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\uart.state[7] )); + sky130_fd_sc_hd__dfxtp_1 _1966_ (.CLK(clknet_leaf_10_clk), + .D(_0000_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm1_adr_i[21] )); + sky130_fd_sc_hd__dfxtp_1 _1967_ (.CLK(clknet_leaf_10_clk), + .D(_0001_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.state[1] )); + sky130_fd_sc_hd__dfxtp_1 _1968_ (.CLK(clknet_leaf_0_clk), + .D(_0002_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.state[2] )); + sky130_fd_sc_hd__dfxtp_1 _1969_ (.CLK(clknet_leaf_10_clk), + .D(_0003_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.state[3] )); + sky130_fd_sc_hd__dfxtp_2 _1970_ (.CLK(clknet_leaf_3_clk), + .D(_0044_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(uio_out[0])); + sky130_fd_sc_hd__dfxtp_1 _1971_ (.CLK(clknet_leaf_7_clk), + .D(_0045_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.mask[8] )); + sky130_fd_sc_hd__dfxtp_1 _1972_ (.CLK(clknet_leaf_7_clk), + .D(_0046_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.mask[9] )); + sky130_fd_sc_hd__dfxtp_1 _1973_ (.CLK(clknet_leaf_8_clk), + .D(_0047_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.mask[10] )); + sky130_fd_sc_hd__dfxtp_1 _1974_ (.CLK(clknet_leaf_9_clk), + .D(_0048_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.mask[11] )); + sky130_fd_sc_hd__dfxtp_1 _1975_ (.CLK(clknet_leaf_9_clk), + .D(_0049_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.mask[12] )); + sky130_fd_sc_hd__dfxtp_1 _1976_ (.CLK(clknet_leaf_8_clk), + .D(_0050_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.mask[13] )); + sky130_fd_sc_hd__dfxtp_1 _1977_ (.CLK(clknet_leaf_9_clk), + .D(_0051_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.mask[14] )); + sky130_fd_sc_hd__dfxtp_1 _1978_ (.CLK(clknet_leaf_9_clk), + .D(_0052_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.mask[15] )); + sky130_fd_sc_hd__dfxtp_1 _1979_ (.CLK(clknet_leaf_7_clk), + .D(_0053_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.initial_vp[8] )); + sky130_fd_sc_hd__dfxtp_1 _1980_ (.CLK(clknet_leaf_7_clk), + .D(_0054_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.initial_vp[9] )); + sky130_fd_sc_hd__dfxtp_1 _1981_ (.CLK(clknet_leaf_7_clk), + .D(_0055_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.initial_vp[10] )); + sky130_fd_sc_hd__dfxtp_1 _1982_ (.CLK(clknet_leaf_9_clk), + .D(_0056_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.initial_vp[11] )); + sky130_fd_sc_hd__dfxtp_1 _1983_ (.CLK(clknet_leaf_9_clk), + .D(_0057_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.initial_vp[12] )); + sky130_fd_sc_hd__dfxtp_1 _1984_ (.CLK(clknet_leaf_9_clk), + .D(_0058_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.initial_vp[13] )); + sky130_fd_sc_hd__dfxtp_1 _1985_ (.CLK(clknet_leaf_9_clk), + .D(_0059_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.initial_vp[14] )); + sky130_fd_sc_hd__dfxtp_1 _1986_ (.CLK(clknet_leaf_9_clk), + .D(_0060_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.initial_vp[15] )); + sky130_fd_sc_hd__dfxtp_2 _1987_ (.CLK(clknet_leaf_0_clk), + .D(_0061_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.pm[0] )); + sky130_fd_sc_hd__dfxtp_1 _1988_ (.CLK(clknet_leaf_0_clk), + .D(_0062_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.pm[1] )); + sky130_fd_sc_hd__dfxtp_1 _1989_ (.CLK(clknet_leaf_1_clk), + .D(_0063_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.pm[2] )); + sky130_fd_sc_hd__dfxtp_1 _1990_ (.CLK(clknet_leaf_3_clk), + .D(_0064_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.pm[3] )); + sky130_fd_sc_hd__dfxtp_2 _1991_ (.CLK(clknet_leaf_3_clk), + .D(_0065_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.pm[4] )); + sky130_fd_sc_hd__dfxtp_1 _1992_ (.CLK(clknet_leaf_3_clk), + .D(_0066_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.pm[5] )); + sky130_fd_sc_hd__dfxtp_1 _1993_ (.CLK(clknet_leaf_3_clk), + .D(_0067_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.pm[6] )); + sky130_fd_sc_hd__dfxtp_1 _1994_ (.CLK(clknet_leaf_3_clk), + .D(_0068_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.pm[7] )); + sky130_fd_sc_hd__dfxtp_1 _1995_ (.CLK(clknet_leaf_7_clk), + .D(_0069_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.pm[8] )); + sky130_fd_sc_hd__dfxtp_1 _1996_ (.CLK(clknet_leaf_7_clk), + .D(_0070_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.pm[9] )); + sky130_fd_sc_hd__dfxtp_1 _1997_ (.CLK(clknet_leaf_7_clk), + .D(_0071_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.pm[10] )); + sky130_fd_sc_hd__dfxtp_1 _1998_ (.CLK(clknet_leaf_7_clk), + .D(_0072_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.pm[11] )); + sky130_fd_sc_hd__dfxtp_1 _1999_ (.CLK(clknet_leaf_9_clk), + .D(_0073_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.pm[12] )); + sky130_fd_sc_hd__dfxtp_1 _2000_ (.CLK(clknet_leaf_10_clk), + .D(_0074_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.pm[13] )); + sky130_fd_sc_hd__dfxtp_1 _2001_ (.CLK(clknet_leaf_10_clk), + .D(_0075_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.pm[14] )); + sky130_fd_sc_hd__dfxtp_1 _2002_ (.CLK(clknet_leaf_10_clk), + .D(_0076_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.pm[15] )); + sky130_fd_sc_hd__dfxtp_1 _2003_ (.CLK(clknet_leaf_0_clk), + .D(_0077_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.dict_address[0] )); + sky130_fd_sc_hd__dfxtp_1 _2004_ (.CLK(clknet_leaf_0_clk), + .D(_0078_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.dict_address[1] )); + sky130_fd_sc_hd__dfxtp_1 _2005_ (.CLK(clknet_leaf_1_clk), + .D(net156), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.dict_address[2] )); + sky130_fd_sc_hd__dfxtp_1 _2006_ (.CLK(clknet_leaf_1_clk), + .D(_0080_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.dict_address[3] )); + sky130_fd_sc_hd__dfxtp_1 _2007_ (.CLK(clknet_leaf_1_clk), + .D(_0081_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.dict_address[4] )); + sky130_fd_sc_hd__dfxtp_1 _2008_ (.CLK(clknet_leaf_1_clk), + .D(net153), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.dict_address[5] )); + sky130_fd_sc_hd__dfxtp_1 _2009_ (.CLK(clknet_leaf_1_clk), + .D(_0083_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.dict_address[6] )); + sky130_fd_sc_hd__dfxtp_1 _2010_ (.CLK(clknet_leaf_1_clk), + .D(net160), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.dict_address[7] )); + sky130_fd_sc_hd__dfxtp_1 _2011_ (.CLK(clknet_leaf_1_clk), + .D(_0085_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.dict_address[8] )); + sky130_fd_sc_hd__dfxtp_1 _2012_ (.CLK(clknet_leaf_1_clk), + .D(net175), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.dict_address[9] )); + sky130_fd_sc_hd__dfxtp_1 _2013_ (.CLK(clknet_leaf_1_clk), + .D(_0087_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.dict_address[10] )); + sky130_fd_sc_hd__dfxtp_1 _2014_ (.CLK(clknet_leaf_2_clk), + .D(net149), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.dict_address[11] )); + sky130_fd_sc_hd__dfxtp_1 _2015_ (.CLK(clknet_leaf_2_clk), + .D(_0089_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.dict_address[12] )); + sky130_fd_sc_hd__dfxtp_1 _2016_ (.CLK(clknet_leaf_2_clk), + .D(net151), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.dict_address[13] )); + sky130_fd_sc_hd__dfxtp_1 _2017_ (.CLK(clknet_leaf_2_clk), + .D(_0091_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.dict_address[14] )); + sky130_fd_sc_hd__dfxtp_1 _2018_ (.CLK(clknet_leaf_2_clk), + .D(_0092_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.dict_address[15] )); + sky130_fd_sc_hd__dfxtp_1 _2019_ (.CLK(clknet_leaf_2_clk), + .D(_0093_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.dict_address[16] )); + sky130_fd_sc_hd__dfxtp_1 _2020_ (.CLK(clknet_leaf_2_clk), + .D(_0094_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.dict_address[17] )); + sky130_fd_sc_hd__dfxtp_1 _2021_ (.CLK(clknet_leaf_2_clk), + .D(_0095_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.dict_address[18] )); + sky130_fd_sc_hd__dfxtp_1 _2022_ (.CLK(clknet_leaf_2_clk), + .D(_0096_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.dict_address[19] )); + sky130_fd_sc_hd__dfxtp_1 _2023_ (.CLK(clknet_leaf_3_clk), + .D(_0097_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.dict_address[20] )); + sky130_fd_sc_hd__dfxtp_1 _2024_ (.CLK(clknet_leaf_0_clk), + .D(_0098_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm1_cyc_i )); + sky130_fd_sc_hd__dfxtp_2 _2025_ (.CLK(clknet_leaf_10_clk), + .D(_0099_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.enabled )); + sky130_fd_sc_hd__dfxtp_1 _2026_ (.CLK(clknet_leaf_7_clk), + .D(_0100_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.initial_vp[0] )); + sky130_fd_sc_hd__dfxtp_1 _2027_ (.CLK(clknet_leaf_7_clk), + .D(_0101_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.initial_vp[1] )); + sky130_fd_sc_hd__dfxtp_1 _2028_ (.CLK(clknet_leaf_7_clk), + .D(_0102_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.initial_vp[2] )); + sky130_fd_sc_hd__dfxtp_1 _2029_ (.CLK(clknet_leaf_7_clk), + .D(_0103_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.initial_vp[3] )); + sky130_fd_sc_hd__dfxtp_1 _2030_ (.CLK(clknet_leaf_6_clk), + .D(_0104_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.initial_vp[4] )); + sky130_fd_sc_hd__dfxtp_1 _2031_ (.CLK(clknet_leaf_6_clk), + .D(_0105_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.initial_vp[5] )); + sky130_fd_sc_hd__dfxtp_1 _2032_ (.CLK(clknet_leaf_6_clk), + .D(_0106_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.initial_vp[6] )); + sky130_fd_sc_hd__dfxtp_1 _2033_ (.CLK(clknet_leaf_7_clk), + .D(_0107_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.initial_vp[7] )); + sky130_fd_sc_hd__dfxtp_1 _2034_ (.CLK(clknet_leaf_3_clk), + .D(_0108_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.mask[0] )); + sky130_fd_sc_hd__dfxtp_1 _2035_ (.CLK(clknet_leaf_3_clk), + .D(_0109_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.mask[1] )); + sky130_fd_sc_hd__dfxtp_1 _2036_ (.CLK(clknet_leaf_4_clk), + .D(_0110_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.mask[2] )); + sky130_fd_sc_hd__dfxtp_1 _2037_ (.CLK(clknet_leaf_6_clk), + .D(_0111_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.mask[3] )); + sky130_fd_sc_hd__dfxtp_1 _2038_ (.CLK(clknet_leaf_5_clk), + .D(_0112_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.mask[4] )); + sky130_fd_sc_hd__dfxtp_1 _2039_ (.CLK(clknet_leaf_5_clk), + .D(_0113_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.mask[5] )); + sky130_fd_sc_hd__dfxtp_1 _2040_ (.CLK(clknet_leaf_5_clk), + .D(_0114_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.mask[6] )); + sky130_fd_sc_hd__dfxtp_1 _2041_ (.CLK(clknet_leaf_5_clk), + .D(_0115_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.mask[7] )); + sky130_fd_sc_hd__dfxtp_1 _2042_ (.CLK(clknet_leaf_10_clk), + .D(_0116_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.word_length[0] )); + sky130_fd_sc_hd__dfxtp_1 _2043_ (.CLK(clknet_leaf_10_clk), + .D(_0117_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.word_length[1] )); + sky130_fd_sc_hd__dfxtp_1 _2044_ (.CLK(clknet_leaf_10_clk), + .D(_0118_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.word_length[2] )); + sky130_fd_sc_hd__dfxtp_1 _2045_ (.CLK(clknet_leaf_9_clk), + .D(_0119_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.word_length[3] )); + sky130_fd_sc_hd__dfxtp_1 _2046_ (.CLK(clknet_leaf_9_clk), + .D(_0120_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.word_length[4] )); + sky130_fd_sc_hd__dfxtp_1 _2047_ (.CLK(clknet_leaf_10_clk), + .D(_0121_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbs0_ack_i )); + sky130_fd_sc_hd__dfxtp_1 _2048_ (.CLK(clknet_leaf_0_clk), + .D(_0122_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.arbiter.cyc_o )); + sky130_fd_sc_hd__dfxtp_1 _2049_ (.CLK(clknet_leaf_4_clk), + .D(_0123_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm0_dat_i[7] )); + sky130_fd_sc_hd__dfxtp_1 _2050_ (.CLK(clknet_leaf_4_clk), + .D(_0124_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm0_dat_i[6] )); + sky130_fd_sc_hd__dfxtp_1 _2051_ (.CLK(clknet_leaf_4_clk), + .D(_0125_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm0_dat_i[5] )); + sky130_fd_sc_hd__dfxtp_1 _2052_ (.CLK(clknet_leaf_4_clk), + .D(_0126_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm0_dat_i[4] )); + sky130_fd_sc_hd__dfxtp_1 _2053_ (.CLK(clknet_leaf_5_clk), + .D(_0127_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm0_dat_i[3] )); + sky130_fd_sc_hd__dfxtp_1 _2054_ (.CLK(clknet_leaf_4_clk), + .D(_0128_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm0_dat_i[2] )); + sky130_fd_sc_hd__dfxtp_1 _2055_ (.CLK(clknet_leaf_4_clk), + .D(_0129_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm0_dat_i[1] )); + sky130_fd_sc_hd__dfxtp_1 _2056_ (.CLK(clknet_leaf_10_clk), + .D(_0130_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.vp[0] )); + sky130_fd_sc_hd__dfxtp_1 _2057_ (.CLK(clknet_leaf_6_clk), + .D(_0131_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.vp[1] )); + sky130_fd_sc_hd__dfxtp_1 _2058_ (.CLK(clknet_leaf_6_clk), + .D(_0132_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.vp[2] )); + sky130_fd_sc_hd__dfxtp_1 _2059_ (.CLK(clknet_leaf_6_clk), + .D(_0133_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.vp[3] )); + sky130_fd_sc_hd__dfxtp_1 _2060_ (.CLK(clknet_leaf_6_clk), + .D(_0134_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.vp[4] )); + sky130_fd_sc_hd__dfxtp_1 _2061_ (.CLK(clknet_leaf_6_clk), + .D(_0135_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.vp[5] )); + sky130_fd_sc_hd__dfxtp_1 _2062_ (.CLK(clknet_leaf_6_clk), + .D(_0136_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.vp[6] )); + sky130_fd_sc_hd__dfxtp_1 _2063_ (.CLK(clknet_leaf_6_clk), + .D(_0137_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.vp[7] )); + sky130_fd_sc_hd__dfxtp_1 _2064_ (.CLK(clknet_leaf_7_clk), + .D(_0138_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.vp[8] )); + sky130_fd_sc_hd__dfxtp_1 _2065_ (.CLK(clknet_leaf_7_clk), + .D(_0139_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.vp[9] )); + sky130_fd_sc_hd__dfxtp_1 _2066_ (.CLK(clknet_leaf_8_clk), + .D(_0140_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.vp[10] )); + sky130_fd_sc_hd__dfxtp_1 _2067_ (.CLK(clknet_leaf_8_clk), + .D(_0141_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.vp[11] )); + sky130_fd_sc_hd__dfxtp_1 _2068_ (.CLK(clknet_leaf_8_clk), + .D(_0142_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.vp[12] )); + sky130_fd_sc_hd__dfxtp_1 _2069_ (.CLK(clknet_leaf_8_clk), + .D(_0143_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.vp[13] )); + sky130_fd_sc_hd__dfxtp_1 _2070_ (.CLK(clknet_leaf_9_clk), + .D(_0144_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.vp[14] )); + sky130_fd_sc_hd__dfxtp_1 _2071_ (.CLK(clknet_leaf_9_clk), + .D(_0145_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.vp[15] )); + sky130_fd_sc_hd__dfxtp_1 _2072_ (.CLK(clknet_leaf_3_clk), + .D(_0146_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.vn[0] )); + sky130_fd_sc_hd__dfxtp_1 _2073_ (.CLK(clknet_leaf_3_clk), + .D(_0147_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.vn[1] )); + sky130_fd_sc_hd__dfxtp_1 _2074_ (.CLK(clknet_leaf_4_clk), + .D(_0148_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.vn[2] )); + sky130_fd_sc_hd__dfxtp_1 _2075_ (.CLK(clknet_leaf_6_clk), + .D(_0149_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.vn[3] )); + sky130_fd_sc_hd__dfxtp_1 _2076_ (.CLK(clknet_leaf_6_clk), + .D(_0150_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.vn[4] )); + sky130_fd_sc_hd__dfxtp_1 _2077_ (.CLK(clknet_leaf_5_clk), + .D(_0151_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.vn[5] )); + sky130_fd_sc_hd__dfxtp_1 _2078_ (.CLK(clknet_leaf_5_clk), + .D(_0152_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.vn[6] )); + sky130_fd_sc_hd__dfxtp_1 _2079_ (.CLK(clknet_leaf_6_clk), + .D(_0153_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.vn[7] )); + sky130_fd_sc_hd__dfxtp_1 _2080_ (.CLK(clknet_leaf_7_clk), + .D(_0154_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.vn[8] )); + sky130_fd_sc_hd__dfxtp_1 _2081_ (.CLK(clknet_leaf_8_clk), + .D(_0155_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.vn[9] )); + sky130_fd_sc_hd__dfxtp_1 _2082_ (.CLK(clknet_leaf_8_clk), + .D(_0156_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.vn[10] )); + sky130_fd_sc_hd__dfxtp_1 _2083_ (.CLK(clknet_leaf_8_clk), + .D(_0157_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.vn[11] )); + sky130_fd_sc_hd__dfxtp_1 _2084_ (.CLK(clknet_leaf_8_clk), + .D(_0158_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.vn[12] )); + sky130_fd_sc_hd__dfxtp_1 _2085_ (.CLK(clknet_leaf_8_clk), + .D(_0159_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.vn[13] )); + sky130_fd_sc_hd__dfxtp_1 _2086_ (.CLK(clknet_leaf_8_clk), + .D(_0160_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.vn[14] )); + sky130_fd_sc_hd__dfxtp_1 _2087_ (.CLK(clknet_leaf_9_clk), + .D(_0161_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.vn[15] )); + sky130_fd_sc_hd__dfxtp_2 _2088_ (.CLK(clknet_leaf_10_clk), + .D(_0162_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.d[0] )); + sky130_fd_sc_hd__dfxtp_1 _2089_ (.CLK(clknet_leaf_10_clk), + .D(_0163_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.d[1] )); + sky130_fd_sc_hd__dfxtp_1 _2090_ (.CLK(clknet_leaf_9_clk), + .D(_0164_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.d[2] )); + sky130_fd_sc_hd__dfxtp_2 _2091_ (.CLK(clknet_leaf_9_clk), + .D(_0165_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.d[3] )); + sky130_fd_sc_hd__dfxtp_1 _2092_ (.CLK(clknet_leaf_9_clk), + .D(_0166_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.d[4] )); + sky130_fd_sc_hd__dfxtp_1 _2093_ (.CLK(clknet_leaf_11_clk), + .D(_0167_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.d[5] )); + sky130_fd_sc_hd__dfxtp_2 _2094_ (.CLK(clknet_leaf_11_clk), + .D(_0168_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.d[6] )); + sky130_fd_sc_hd__dfxtp_1 _2095_ (.CLK(clknet_leaf_11_clk), + .D(_0169_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.d[7] )); + sky130_fd_sc_hd__dfxtp_1 _2096_ (.CLK(clknet_leaf_11_clk), + .D(_0170_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.idx[0] )); + sky130_fd_sc_hd__dfxtp_1 _2097_ (.CLK(clknet_leaf_11_clk), + .D(_0171_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.idx[1] )); + sky130_fd_sc_hd__dfxtp_1 _2098_ (.CLK(clknet_leaf_11_clk), + .D(_0172_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.idx[2] )); + sky130_fd_sc_hd__dfxtp_1 _2099_ (.CLK(clknet_leaf_11_clk), + .D(_0173_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.idx[3] )); + sky130_fd_sc_hd__dfxtp_1 _2100_ (.CLK(clknet_leaf_11_clk), + .D(_0174_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.idx[4] )); + sky130_fd_sc_hd__dfxtp_1 _2101_ (.CLK(clknet_leaf_11_clk), + .D(_0175_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.idx[5] )); + sky130_fd_sc_hd__dfxtp_1 _2102_ (.CLK(clknet_leaf_11_clk), + .D(net208), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.idx[6] )); + sky130_fd_sc_hd__dfxtp_1 _2103_ (.CLK(clknet_leaf_12_clk), + .D(_0177_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.idx[7] )); + sky130_fd_sc_hd__dfxtp_1 _2104_ (.CLK(clknet_leaf_12_clk), + .D(_0178_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.idx[8] )); + sky130_fd_sc_hd__dfxtp_1 _2105_ (.CLK(clknet_leaf_12_clk), + .D(_0179_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.idx[9] )); + sky130_fd_sc_hd__dfxtp_1 _2106_ (.CLK(clknet_leaf_12_clk), + .D(net193), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.idx[10] )); + sky130_fd_sc_hd__dfxtp_1 _2107_ (.CLK(clknet_leaf_12_clk), + .D(_0181_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.idx[11] )); + sky130_fd_sc_hd__dfxtp_1 _2108_ (.CLK(clknet_leaf_12_clk), + .D(_0182_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.idx[12] )); + sky130_fd_sc_hd__dfxtp_1 _2109_ (.CLK(clknet_leaf_12_clk), + .D(_0183_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.idx[13] )); + sky130_fd_sc_hd__dfxtp_1 _2110_ (.CLK(clknet_leaf_12_clk), + .D(_0184_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.idx[14] )); + sky130_fd_sc_hd__dfxtp_1 _2111_ (.CLK(clknet_leaf_0_clk), + .D(_0185_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.idx[15] )); + sky130_fd_sc_hd__dfxtp_1 _2112_ (.CLK(clknet_leaf_11_clk), + .D(_0186_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.best_idx[0] )); + sky130_fd_sc_hd__dfxtp_1 _2113_ (.CLK(clknet_leaf_12_clk), + .D(_0187_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.best_idx[1] )); + sky130_fd_sc_hd__dfxtp_1 _2114_ (.CLK(clknet_leaf_11_clk), + .D(_0188_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.best_idx[2] )); + sky130_fd_sc_hd__dfxtp_1 _2115_ (.CLK(clknet_leaf_12_clk), + .D(_0189_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.best_idx[3] )); + sky130_fd_sc_hd__dfxtp_1 _2116_ (.CLK(clknet_leaf_11_clk), + .D(_0190_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.best_idx[4] )); + sky130_fd_sc_hd__dfxtp_1 _2117_ (.CLK(clknet_leaf_11_clk), + .D(_0191_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.best_idx[5] )); + sky130_fd_sc_hd__dfxtp_1 _2118_ (.CLK(clknet_leaf_12_clk), + .D(_0192_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.best_idx[6] )); + sky130_fd_sc_hd__dfxtp_1 _2119_ (.CLK(clknet_leaf_12_clk), + .D(_0193_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.best_idx[7] )); + sky130_fd_sc_hd__dfxtp_1 _2120_ (.CLK(clknet_leaf_12_clk), + .D(_0194_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.best_idx[8] )); + sky130_fd_sc_hd__dfxtp_1 _2121_ (.CLK(clknet_leaf_12_clk), + .D(_0195_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.best_idx[9] )); + sky130_fd_sc_hd__dfxtp_1 _2122_ (.CLK(clknet_leaf_12_clk), + .D(_0196_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.best_idx[10] )); + sky130_fd_sc_hd__dfxtp_1 _2123_ (.CLK(clknet_leaf_0_clk), + .D(_0197_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.best_idx[11] )); + sky130_fd_sc_hd__dfxtp_1 _2124_ (.CLK(clknet_leaf_12_clk), + .D(_0198_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.best_idx[12] )); + sky130_fd_sc_hd__dfxtp_1 _2125_ (.CLK(clknet_leaf_12_clk), + .D(_0199_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.best_idx[13] )); + sky130_fd_sc_hd__dfxtp_1 _2126_ (.CLK(clknet_leaf_0_clk), + .D(_0200_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.best_idx[14] )); + sky130_fd_sc_hd__dfxtp_1 _2127_ (.CLK(clknet_leaf_12_clk), + .D(_0201_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.best_idx[15] )); + sky130_fd_sc_hd__dfxtp_1 _2128_ (.CLK(clknet_leaf_5_clk), + .D(_0202_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\uart.clk_counter[0] )); + sky130_fd_sc_hd__dfxtp_1 _2129_ (.CLK(clknet_leaf_5_clk), + .D(_0203_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\uart.clk_counter[1] )); + sky130_fd_sc_hd__dfxtp_1 _2130_ (.CLK(clknet_leaf_5_clk), + .D(_0204_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\uart.clk_counter[2] )); + sky130_fd_sc_hd__dfxtp_1 _2131_ (.CLK(clknet_leaf_4_clk), + .D(_0205_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\spi_ctrl.bit_counter[0] )); + sky130_fd_sc_hd__dfxtp_1 _2132_ (.CLK(clknet_leaf_3_clk), + .D(_0206_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\spi_ctrl.bit_counter[1] )); + sky130_fd_sc_hd__dfxtp_1 _2133_ (.CLK(clknet_leaf_3_clk), + .D(_0207_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\spi_ctrl.bit_counter[2] )); + sky130_fd_sc_hd__dfxtp_1 _2134_ (.CLK(clknet_leaf_4_clk), + .D(_0208_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\spi_ctrl.bit_counter[3] )); + sky130_fd_sc_hd__dfxtp_1 _2135_ (.CLK(clknet_leaf_4_clk), + .D(_0209_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\spi_ctrl.bit_counter[4] )); + sky130_fd_sc_hd__dfxtp_1 _2136_ (.CLK(clknet_leaf_2_clk), + .D(_0210_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\spi_ctrl.bit_counter[5] )); + sky130_fd_sc_hd__dfxtp_1 _2137_ (.CLK(clknet_leaf_4_clk), + .D(_0211_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbs1_ack_i )); + sky130_fd_sc_hd__dfxtp_1 _2138_ (.CLK(clknet_leaf_0_clk), + .D(_0212_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbs1_dat_i[0] )); + sky130_fd_sc_hd__dfxtp_1 _2139_ (.CLK(clknet_leaf_0_clk), + .D(_0213_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbs1_dat_i[1] )); + sky130_fd_sc_hd__dfxtp_1 _2140_ (.CLK(clknet_leaf_0_clk), + .D(_0214_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbs1_dat_i[2] )); + sky130_fd_sc_hd__dfxtp_1 _2141_ (.CLK(clknet_leaf_0_clk), + .D(net135), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbs1_dat_i[3] )); + sky130_fd_sc_hd__dfxtp_1 _2142_ (.CLK(clknet_leaf_0_clk), + .D(_0216_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbs1_dat_i[4] )); + sky130_fd_sc_hd__dfxtp_1 _2143_ (.CLK(clknet_leaf_0_clk), + .D(_0217_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbs1_dat_i[5] )); + sky130_fd_sc_hd__dfxtp_1 _2144_ (.CLK(clknet_leaf_0_clk), + .D(net138), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbs1_dat_i[6] )); + sky130_fd_sc_hd__dfxtp_1 _2145_ (.CLK(clknet_leaf_0_clk), + .D(net131), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbs1_dat_i[7] )); + sky130_fd_sc_hd__dfxtp_2 _2146_ (.CLK(clknet_leaf_3_clk), + .D(_0220_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(uio_out[1])); + sky130_fd_sc_hd__dfxtp_4 _2147_ (.CLK(clknet_leaf_4_clk), + .D(_0221_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(uio_out[3])); + sky130_fd_sc_hd__dfxtp_1 _2148_ (.CLK(clknet_leaf_10_clk), + .D(_0222_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.best_distance[0] )); + sky130_fd_sc_hd__dfxtp_1 _2149_ (.CLK(clknet_leaf_10_clk), + .D(_0223_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.best_distance[1] )); + sky130_fd_sc_hd__dfxtp_1 _2150_ (.CLK(clknet_leaf_10_clk), + .D(_0224_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.best_distance[2] )); + sky130_fd_sc_hd__dfxtp_1 _2151_ (.CLK(clknet_leaf_11_clk), + .D(_0225_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.best_distance[3] )); + sky130_fd_sc_hd__dfxtp_1 _2152_ (.CLK(clknet_leaf_10_clk), + .D(_0226_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.best_distance[4] )); + sky130_fd_sc_hd__dfxtp_1 _2153_ (.CLK(clknet_leaf_11_clk), + .D(_0227_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.best_distance[5] )); + sky130_fd_sc_hd__dfxtp_1 _2154_ (.CLK(clknet_leaf_11_clk), + .D(_0228_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.best_distance[6] )); + sky130_fd_sc_hd__dfxtp_1 _2155_ (.CLK(clknet_leaf_11_clk), + .D(_0229_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\levenshtein_ctrl.best_distance[7] )); + sky130_fd_sc_hd__dfxtp_1 _2156_ (.CLK(clknet_leaf_4_clk), + .D(_0230_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.wbm0_dat_i[0] )); + sky130_fd_sc_hd__dfxtp_1 _2157_ (.CLK(clknet_leaf_3_clk), + .D(_0231_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .Q(\intercon.arbiter.gnt_o )); + sky130_fd_sc_hd__buf_2 fanout6 (.A(_0446_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net6)); + sky130_fd_sc_hd__buf_2 _2159_ (.A(net128), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_oe[1])); + sky130_fd_sc_hd__buf_1 fanout5 (.A(net6), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net5)); + sky130_fd_sc_hd__buf_2 _2161_ (.A(net129), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_oe[3])); + sky130_fd_sc_hd__buf_2 _2162_ (.A(net111), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_oe[4])); + sky130_fd_sc_hd__buf_2 _2163_ (.A(net112), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_oe[5])); + sky130_fd_sc_hd__buf_2 _2164_ (.A(net113), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_oe[6])); + sky130_fd_sc_hd__buf_2 _2165_ (.A(net114), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_oe[7])); + sky130_fd_sc_hd__clkbuf_2 fanout4 (.A(net6), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net4)); + sky130_fd_sc_hd__buf_1 input3 (.A(uio_in[2]), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net3)); + sky130_fd_sc_hd__buf_2 _2168_ (.A(net115), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_out[2])); + sky130_fd_sc_hd__clkbuf_2 input2 (.A(ui_in[3]), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net2)); + sky130_fd_sc_hd__buf_2 _2170_ (.A(net116), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_out[4])); + sky130_fd_sc_hd__buf_2 _2171_ (.A(net117), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_out[5])); + sky130_fd_sc_hd__buf_2 _2172_ (.A(net118), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_out[6])); + sky130_fd_sc_hd__buf_2 _2173_ (.A(net119), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uio_out[7])); + sky130_fd_sc_hd__buf_2 _2174_ (.A(net120), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uo_out[0])); + sky130_fd_sc_hd__buf_2 _2175_ (.A(net121), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uo_out[1])); + sky130_fd_sc_hd__buf_2 _2176_ (.A(net122), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uo_out[2])); + sky130_fd_sc_hd__buf_2 _2177_ (.A(net123), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uo_out[3])); + sky130_fd_sc_hd__buf_1 input1 (.A(rst_n), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net1)); + sky130_fd_sc_hd__buf_2 _2179_ (.A(net124), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uo_out[5])); + sky130_fd_sc_hd__buf_2 _2180_ (.A(net125), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uo_out[6])); + sky130_fd_sc_hd__buf_2 _2181_ (.A(net126), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(uo_out[7])); + sky130_fd_sc_hd__conb_1 tt_um_levenshtein_127 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .HI(net127)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_0_clk (.A(clknet_1_0__leaf_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(clknet_leaf_0_clk)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_0_Right_0 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_1_Right_1 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_2_Right_2 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_3_Right_3 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_4_Right_4 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_5_Right_5 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_6_Right_6 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_7_Right_7 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_8_Right_8 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_9_Right_9 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_10_Right_10 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_11_Right_11 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_12_Right_12 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_13_Right_13 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_14_Right_14 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_15_Right_15 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_16_Right_16 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_17_Right_17 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_18_Right_18 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_19_Right_19 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_20_Right_20 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_21_Right_21 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_22_Right_22 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_23_Right_23 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_24_Right_24 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_25_Right_25 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_26_Right_26 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_27_Right_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_28_Right_28 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_29_Right_29 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_30_Right_30 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_31_Right_31 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_32_Right_32 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_33_Right_33 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_34_Right_34 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_35_Right_35 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_36_Right_36 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_37_Right_37 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_38_Right_38 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_0_Left_39 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_1_Left_40 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_2_Left_41 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_3_Left_42 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_4_Left_43 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_5_Left_44 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_6_Left_45 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_7_Left_46 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_8_Left_47 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_9_Left_48 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_10_Left_49 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_11_Left_50 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_12_Left_51 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_13_Left_52 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_14_Left_53 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_15_Left_54 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_16_Left_55 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_17_Left_56 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_18_Left_57 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_19_Left_58 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_20_Left_59 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_21_Left_60 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_22_Left_61 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_23_Left_62 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_24_Left_63 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_25_Left_64 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_26_Left_65 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_27_Left_66 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_28_Left_67 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_29_Left_68 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_30_Left_69 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_31_Left_70 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_32_Left_71 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_33_Left_72 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_34_Left_73 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_35_Left_74 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_36_Left_75 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_37_Left_76 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 PHY_EDGE_ROW_38_Left_77 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_0_78 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_0_79 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_0_80 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_0_81 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_0_82 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_0_83 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_0_84 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_0_85 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_0_86 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_0_87 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_0_88 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_1_89 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_1_90 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_1_91 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_1_92 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_1_93 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_2_94 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_2_95 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_2_96 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_2_97 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_2_98 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_2_99 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_3_100 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_3_101 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_3_102 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_3_103 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_3_104 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_4_105 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_4_106 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_4_107 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_4_108 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_4_109 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_4_110 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_5_111 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_5_112 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_5_113 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_5_114 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_5_115 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_6_116 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_6_117 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_6_118 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_6_119 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_6_120 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_6_121 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_7_122 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_7_123 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_7_124 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_7_125 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_7_126 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_8_127 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_8_128 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_8_129 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_8_130 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_8_131 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_8_132 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_9_133 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_9_134 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_9_135 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_9_136 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_9_137 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_10_138 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_10_139 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_10_140 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_10_141 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_10_142 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_10_143 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_11_144 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_11_145 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_11_146 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_11_147 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_11_148 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_12_149 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_12_150 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_12_151 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_12_152 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_12_153 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_12_154 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_13_155 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_13_156 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_13_157 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_13_158 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_13_159 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_14_160 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_14_161 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_14_162 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_14_163 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_14_164 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_14_165 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_15_166 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_15_167 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_15_168 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_15_169 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_15_170 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_16_171 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_16_172 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_16_173 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_16_174 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_16_175 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_16_176 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_17_177 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_17_178 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_17_179 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_17_180 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_17_181 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_18_182 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_18_183 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_18_184 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_18_185 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_18_186 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_18_187 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_19_188 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_19_189 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_19_190 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_19_191 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_19_192 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_20_193 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_20_194 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_20_195 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_20_196 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_20_197 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_20_198 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_21_199 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_21_200 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_21_201 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_21_202 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_21_203 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_22_204 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_22_205 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_22_206 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_22_207 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_22_208 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_22_209 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_23_210 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_23_211 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_23_212 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_23_213 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_23_214 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_24_215 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_24_216 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_24_217 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_24_218 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_24_219 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_24_220 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_25_221 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_25_222 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_25_223 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_25_224 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_25_225 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_26_226 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_26_227 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_26_228 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_26_229 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_26_230 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_26_231 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_27_232 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_27_233 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_27_234 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_27_235 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_27_236 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_28_237 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_28_238 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_28_239 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_28_240 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_28_241 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_28_242 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_29_243 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_29_244 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_29_245 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_29_246 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_29_247 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_30_248 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_30_249 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_30_250 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_30_251 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_30_252 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_30_253 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_31_254 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_31_255 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_31_256 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_31_257 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_31_258 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_32_259 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_32_260 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_32_261 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_32_262 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_32_263 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_32_264 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_33_265 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_33_266 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_33_267 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_33_268 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_33_269 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_34_270 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_34_271 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_34_272 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_34_273 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_34_274 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_34_275 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_35_276 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_35_277 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_35_278 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_35_279 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_35_280 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_36_281 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_36_282 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_36_283 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_36_284 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_36_285 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_36_286 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_37_287 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_37_288 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_37_289 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_37_290 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_37_291 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_38_292 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_38_293 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_38_294 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_38_295 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_38_296 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_38_297 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_38_298 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_38_299 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_38_300 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_38_301 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_TAPCELL_ROW_38_302 (.VGND(VGND), + .VPWR(VPWR)); + sky130_fd_sc_hd__clkbuf_4 fanout7 (.A(_0291_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net7)); + sky130_fd_sc_hd__dlymetal6s2s_1 fanout8 (.A(_0291_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net8)); + sky130_fd_sc_hd__clkbuf_2 fanout9 (.A(_0445_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net9)); + sky130_fd_sc_hd__buf_1 fanout10 (.A(_0445_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net10)); + sky130_fd_sc_hd__clkbuf_2 max_cap11 (.A(_0444_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net11)); + sky130_fd_sc_hd__clkbuf_4 fanout12 (.A(_0881_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net12)); + sky130_fd_sc_hd__buf_1 max_cap13 (.A(_0880_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net13)); + sky130_fd_sc_hd__buf_2 fanout14 (.A(net17), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net14)); + sky130_fd_sc_hd__clkbuf_2 fanout15 (.A(net17), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net15)); + sky130_fd_sc_hd__buf_2 fanout16 (.A(net17), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net16)); + sky130_fd_sc_hd__clkbuf_2 fanout17 (.A(_0618_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net17)); + sky130_fd_sc_hd__buf_2 fanout18 (.A(_0878_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net18)); + sky130_fd_sc_hd__buf_1 max_cap19 (.A(_0848_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net19)); + sky130_fd_sc_hd__clkbuf_2 wire20 (.A(_0838_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net20)); + sky130_fd_sc_hd__clkbuf_2 max_cap21 (.A(_0828_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net21)); + sky130_fd_sc_hd__clkbuf_2 max_cap22 (.A(_0765_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net22)); + sky130_fd_sc_hd__clkbuf_2 max_cap23 (.A(_0746_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net23)); + sky130_fd_sc_hd__buf_2 fanout24 (.A(net28), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net24)); + sky130_fd_sc_hd__clkbuf_2 fanout25 (.A(net28), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net25)); + sky130_fd_sc_hd__buf_2 fanout26 (.A(net28), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net26)); + sky130_fd_sc_hd__clkbuf_2 fanout27 (.A(net28), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net27)); + sky130_fd_sc_hd__buf_2 fanout28 (.A(_0617_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net28)); + sky130_fd_sc_hd__clkbuf_4 fanout29 (.A(net31), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net29)); + sky130_fd_sc_hd__buf_2 fanout30 (.A(net31), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net30)); + sky130_fd_sc_hd__clkbuf_4 fanout31 (.A(_0617_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net31)); + sky130_fd_sc_hd__clkbuf_1 max_cap32 (.A(net249), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net32)); + sky130_fd_sc_hd__clkbuf_2 max_cap33 (.A(_0775_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net33)); + sky130_fd_sc_hd__clkbuf_1 wire34 (.A(_0744_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net34)); + sky130_fd_sc_hd__buf_2 fanout35 (.A(net37), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net35)); + sky130_fd_sc_hd__clkbuf_2 fanout36 (.A(net37), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net36)); + sky130_fd_sc_hd__buf_2 fanout37 (.A(_0697_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net37)); + sky130_fd_sc_hd__clkbuf_1 max_cap38 (.A(_0690_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net38)); + sky130_fd_sc_hd__clkbuf_1 max_cap39 (.A(net40), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net39)); + sky130_fd_sc_hd__clkbuf_1 max_cap40 (.A(_0611_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net40)); + sky130_fd_sc_hd__clkbuf_4 fanout41 (.A(_0877_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net41)); + sky130_fd_sc_hd__clkbuf_2 fanout42 (.A(_0877_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net42)); + sky130_fd_sc_hd__clkbuf_4 fanout43 (.A(net44), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net43)); + sky130_fd_sc_hd__clkbuf_4 fanout44 (.A(_0876_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net44)); + sky130_fd_sc_hd__buf_1 max_cap45 (.A(_0856_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net45)); + sky130_fd_sc_hd__clkbuf_2 max_cap46 (.A(_0727_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net46)); + sky130_fd_sc_hd__clkbuf_2 fanout47 (.A(net48), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net47)); + sky130_fd_sc_hd__clkbuf_2 fanout48 (.A(net49), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net48)); + sky130_fd_sc_hd__buf_1 fanout49 (.A(_0696_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net49)); + sky130_fd_sc_hd__buf_2 fanout50 (.A(_0681_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net50)); + sky130_fd_sc_hd__clkbuf_2 fanout51 (.A(_0604_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net51)); + sky130_fd_sc_hd__dlymetal6s2s_1 fanout52 (.A(net53), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net52)); + sky130_fd_sc_hd__dlymetal6s2s_1 fanout53 (.A(net54), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net53)); + sky130_fd_sc_hd__dlymetal6s2s_1 fanout54 (.A(_0603_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net54)); + sky130_fd_sc_hd__clkbuf_2 fanout55 (.A(net56), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net55)); + sky130_fd_sc_hd__clkbuf_2 fanout56 (.A(_0601_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net56)); + sky130_fd_sc_hd__clkbuf_2 fanout57 (.A(net58), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net57)); + sky130_fd_sc_hd__dlymetal6s2s_1 fanout58 (.A(net59), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net58)); + sky130_fd_sc_hd__clkbuf_1 wire59 (.A(_0600_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net59)); + sky130_fd_sc_hd__clkbuf_2 max_cap60 (.A(_0486_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net60)); + sky130_fd_sc_hd__buf_2 fanout61 (.A(_0726_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net61)); + sky130_fd_sc_hd__clkbuf_4 fanout62 (.A(net64), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net62)); + sky130_fd_sc_hd__clkbuf_4 fanout63 (.A(net64), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net63)); + sky130_fd_sc_hd__buf_2 fanout64 (.A(_0673_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net64)); + sky130_fd_sc_hd__buf_2 fanout65 (.A(_0597_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net65)); + sky130_fd_sc_hd__clkbuf_2 fanout66 (.A(_0593_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net66)); + sky130_fd_sc_hd__buf_2 fanout67 (.A(net68), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net67)); + sky130_fd_sc_hd__clkbuf_2 fanout68 (.A(_0570_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net68)); + sky130_fd_sc_hd__buf_1 max_cap69 (.A(_0503_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net69)); + sky130_fd_sc_hd__clkbuf_2 fanout70 (.A(net71), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net70)); + sky130_fd_sc_hd__clkbuf_4 fanout71 (.A(net72), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net71)); + sky130_fd_sc_hd__clkbuf_4 fanout72 (.A(\intercon.arbiter.gnt_o ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net72)); + sky130_fd_sc_hd__buf_2 fanout73 (.A(\spi_ctrl.bit_counter[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net73)); + sky130_fd_sc_hd__buf_1 fanout74 (.A(\spi_ctrl.bit_counter[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net74)); + sky130_fd_sc_hd__buf_2 fanout75 (.A(\levenshtein_ctrl.d[4] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net75)); + sky130_fd_sc_hd__buf_2 fanout76 (.A(\intercon.wbm1_cyc_i ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net76)); + sky130_fd_sc_hd__dlymetal6s2s_1 fanout77 (.A(\intercon.wbm1_cyc_i ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net77)); + sky130_fd_sc_hd__clkbuf_4 fanout78 (.A(net79), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net78)); + sky130_fd_sc_hd__buf_2 fanout79 (.A(\levenshtein_ctrl.state[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net79)); + sky130_fd_sc_hd__clkbuf_2 fanout80 (.A(net81), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net80)); + sky130_fd_sc_hd__clkbuf_2 fanout81 (.A(net82), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net81)); + sky130_fd_sc_hd__dlymetal6s2s_1 fanout82 (.A(\intercon.wbm1_adr_i[21] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net82)); + sky130_fd_sc_hd__buf_2 fanout83 (.A(\intercon.wbm1_adr_i[21] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net83)); + sky130_fd_sc_hd__clkbuf_2 fanout84 (.A(\intercon.wbm1_adr_i[21] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net84)); + sky130_fd_sc_hd__clkbuf_4 fanout85 (.A(net86), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net85)); + sky130_fd_sc_hd__clkbuf_4 fanout86 (.A(_0584_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net86)); + sky130_fd_sc_hd__buf_2 fanout87 (.A(_0584_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net87)); + sky130_fd_sc_hd__clkbuf_2 fanout88 (.A(net96), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net88)); + sky130_fd_sc_hd__buf_1 fanout89 (.A(net96), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net89)); + sky130_fd_sc_hd__buf_2 fanout90 (.A(net96), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net90)); + sky130_fd_sc_hd__buf_2 fanout91 (.A(net93), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net91)); + sky130_fd_sc_hd__buf_2 fanout92 (.A(net93), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net92)); + sky130_fd_sc_hd__clkbuf_2 fanout93 (.A(net96), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net93)); + sky130_fd_sc_hd__buf_2 fanout94 (.A(net96), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net94)); + sky130_fd_sc_hd__dlymetal6s2s_1 fanout95 (.A(net96), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net95)); + sky130_fd_sc_hd__buf_2 fanout96 (.A(net1), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net96)); + sky130_fd_sc_hd__buf_2 fanout97 (.A(net109), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net97)); + sky130_fd_sc_hd__clkbuf_2 fanout98 (.A(net109), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net98)); + sky130_fd_sc_hd__buf_2 fanout99 (.A(net102), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net99)); + sky130_fd_sc_hd__buf_2 fanout100 (.A(net102), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net100)); + sky130_fd_sc_hd__dlymetal6s2s_1 fanout101 (.A(net102), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net101)); + sky130_fd_sc_hd__dlymetal6s2s_1 fanout102 (.A(net109), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net102)); + sky130_fd_sc_hd__buf_2 fanout103 (.A(net109), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net103)); + sky130_fd_sc_hd__clkbuf_2 fanout104 (.A(net109), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net104)); + sky130_fd_sc_hd__buf_2 fanout105 (.A(net108), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net105)); + sky130_fd_sc_hd__buf_2 fanout106 (.A(net108), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net106)); + sky130_fd_sc_hd__dlymetal6s2s_1 fanout107 (.A(net108), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net107)); + sky130_fd_sc_hd__clkbuf_2 fanout108 (.A(net109), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net108)); + sky130_fd_sc_hd__clkbuf_2 fanout109 (.A(net1), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net109)); + sky130_fd_sc_hd__conb_1 tt_um_levenshtein_110 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net110)); + sky130_fd_sc_hd__conb_1 _2162__111 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net111)); + sky130_fd_sc_hd__conb_1 _2163__112 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net112)); + sky130_fd_sc_hd__conb_1 _2164__113 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net113)); + sky130_fd_sc_hd__conb_1 _2165__114 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net114)); + sky130_fd_sc_hd__conb_1 _2168__115 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net115)); + sky130_fd_sc_hd__conb_1 _2170__116 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net116)); + sky130_fd_sc_hd__conb_1 _2171__117 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net117)); + sky130_fd_sc_hd__conb_1 _2172__118 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net118)); + sky130_fd_sc_hd__conb_1 _2173__119 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net119)); + sky130_fd_sc_hd__conb_1 _2174__120 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net120)); + sky130_fd_sc_hd__conb_1 _2175__121 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net121)); + sky130_fd_sc_hd__conb_1 _2176__122 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net122)); + sky130_fd_sc_hd__conb_1 _2177__123 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net123)); + sky130_fd_sc_hd__conb_1 _2179__124 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net124)); + sky130_fd_sc_hd__conb_1 _2180__125 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net125)); + sky130_fd_sc_hd__conb_1 _2181__126 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .LO(net126)); + sky130_fd_sc_hd__conb_1 _2159__128 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .HI(net128)); + sky130_fd_sc_hd__conb_1 _2161__129 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .HI(net129)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_1_clk (.A(clknet_1_0__leaf_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(clknet_leaf_1_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_2_clk (.A(clknet_1_0__leaf_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(clknet_leaf_2_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_3_clk (.A(clknet_1_0__leaf_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(clknet_leaf_3_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_4_clk (.A(clknet_1_1__leaf_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(clknet_leaf_4_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_5_clk (.A(clknet_1_1__leaf_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(clknet_leaf_5_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_6_clk (.A(clknet_1_1__leaf_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(clknet_leaf_6_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_7_clk (.A(clknet_1_1__leaf_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(clknet_leaf_7_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_8_clk (.A(clknet_1_1__leaf_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(clknet_leaf_8_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_9_clk (.A(clknet_1_1__leaf_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(clknet_leaf_9_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_10_clk (.A(clknet_1_0__leaf_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(clknet_leaf_10_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_11_clk (.A(clknet_1_0__leaf_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(clknet_leaf_11_clk)); + sky130_fd_sc_hd__clkbuf_8 clkbuf_leaf_12_clk (.A(clknet_1_0__leaf_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(clknet_leaf_12_clk)); + sky130_fd_sc_hd__clkbuf_16 clkbuf_0_clk (.A(clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(clknet_0_clk)); + sky130_fd_sc_hd__clkbuf_16 clkbuf_1_0__f_clk (.A(clknet_0_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(clknet_1_0__leaf_clk)); + sky130_fd_sc_hd__clkbuf_16 clkbuf_1_1__f_clk (.A(clknet_0_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(clknet_1_1__leaf_clk)); + sky130_fd_sc_hd__clkbuf_8 clkload0 (.A(clknet_1_1__leaf_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__clkinv_4 clkload1 (.A(clknet_leaf_0_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__clkinv_4 clkload2 (.A(clknet_leaf_2_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__inv_6 clkload3 (.A(clknet_leaf_3_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__clkinv_4 clkload4 (.A(clknet_leaf_10_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__clkinv_4 clkload5 (.A(clknet_leaf_11_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__clkinv_4 clkload6 (.A(clknet_leaf_12_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__bufinv_16 clkload7 (.A(clknet_leaf_5_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__inv_6 clkload8 (.A(clknet_leaf_6_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__clkinvlp_4 clkload9 (.A(clknet_leaf_7_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__inv_8 clkload10 (.A(clknet_leaf_8_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__bufinv_16 clkload11 (.A(clknet_leaf_9_clk), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__dlygate4sd3_1 hold1 (.A(\intercon.wbs1_dat_i[7] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net130)); + sky130_fd_sc_hd__dlygate4sd3_1 hold2 (.A(_0219_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net131)); + sky130_fd_sc_hd__dlygate4sd3_1 hold3 (.A(\levenshtein_ctrl.idx[11] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net132)); + sky130_fd_sc_hd__dlygate4sd3_1 hold4 (.A(\uart.state[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net133)); + sky130_fd_sc_hd__dlygate4sd3_1 hold5 (.A(\intercon.wbs1_dat_i[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net134)); + sky130_fd_sc_hd__dlygate4sd3_1 hold6 (.A(_0215_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net135)); + sky130_fd_sc_hd__dlygate4sd3_1 hold7 (.A(\uart.state[7] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net136)); + sky130_fd_sc_hd__dlygate4sd3_1 hold8 (.A(\intercon.wbs1_dat_i[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net137)); + sky130_fd_sc_hd__dlygate4sd3_1 hold9 (.A(_0218_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net138)); + sky130_fd_sc_hd__dlygate4sd3_1 hold10 (.A(\uart.state[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net139)); + sky130_fd_sc_hd__dlygate4sd3_1 hold11 (.A(\intercon.wbs1_dat_i[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net140)); + sky130_fd_sc_hd__dlygate4sd3_1 hold12 (.A(\uart.byte_counter[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net141)); + sky130_fd_sc_hd__dlygate4sd3_1 hold13 (.A(\intercon.wbs1_dat_i[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net142)); + sky130_fd_sc_hd__dlygate4sd3_1 hold14 (.A(\intercon.wbs1_dat_i[4] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net143)); + sky130_fd_sc_hd__dlygate4sd3_1 hold15 (.A(\uart.bit_counter[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net144)); + sky130_fd_sc_hd__dlygate4sd3_1 hold16 (.A(\intercon.wbs1_dat_i[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net145)); + sky130_fd_sc_hd__dlygate4sd3_1 hold17 (.A(\intercon.wbm0_adr_i[13] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net146)); + sky130_fd_sc_hd__dlygate4sd3_1 hold18 (.A(\levenshtein_ctrl.idx[12] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net147)); + sky130_fd_sc_hd__dlygate4sd3_1 hold19 (.A(\levenshtein_ctrl.dict_address[11] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net148)); + sky130_fd_sc_hd__dlygate4sd3_1 hold20 (.A(_0088_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net149)); + sky130_fd_sc_hd__dlygate4sd3_1 hold21 (.A(\levenshtein_ctrl.dict_address[13] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net150)); + sky130_fd_sc_hd__dlygate4sd3_1 hold22 (.A(_0090_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net151)); + sky130_fd_sc_hd__dlygate4sd3_1 hold23 (.A(\levenshtein_ctrl.dict_address[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net152)); + sky130_fd_sc_hd__dlygate4sd3_1 hold24 (.A(_0082_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net153)); + sky130_fd_sc_hd__dlygate4sd3_1 hold25 (.A(\levenshtein_ctrl.pm[14] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net154)); + sky130_fd_sc_hd__dlygate4sd3_1 hold26 (.A(\levenshtein_ctrl.dict_address[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net155)); + sky130_fd_sc_hd__dlygate4sd3_1 hold27 (.A(_0079_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net156)); + sky130_fd_sc_hd__dlygate4sd3_1 hold28 (.A(\levenshtein_ctrl.best_distance[7] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net157)); + sky130_fd_sc_hd__dlygate4sd3_1 hold29 (.A(\levenshtein_ctrl.idx[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net158)); + sky130_fd_sc_hd__dlygate4sd3_1 hold30 (.A(\levenshtein_ctrl.dict_address[7] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net159)); + sky130_fd_sc_hd__dlygate4sd3_1 hold31 (.A(_0084_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net160)); + sky130_fd_sc_hd__dlygate4sd3_1 hold32 (.A(\intercon.wbm0_adr_i[11] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net161)); + sky130_fd_sc_hd__dlygate4sd3_1 hold33 (.A(\intercon.wbm0_adr_i[10] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net162)); + sky130_fd_sc_hd__dlygate4sd3_1 hold34 (.A(\levenshtein_ctrl.initial_vp[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net163)); + sky130_fd_sc_hd__dlygate4sd3_1 hold35 (.A(\levenshtein_ctrl.dict_address[15] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net164)); + sky130_fd_sc_hd__dlygate4sd3_1 hold36 (.A(\levenshtein_ctrl.initial_vp[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net165)); + sky130_fd_sc_hd__dlygate4sd3_1 hold37 (.A(\levenshtein_ctrl.initial_vp[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net166)); + sky130_fd_sc_hd__dlygate4sd3_1 hold38 (.A(\intercon.wbm0_adr_i[14] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net167)); + sky130_fd_sc_hd__dlygate4sd3_1 hold39 (.A(\levenshtein_ctrl.initial_vp[4] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net168)); + sky130_fd_sc_hd__dlygate4sd3_1 hold40 (.A(\uart.bit_counter[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net169)); + sky130_fd_sc_hd__dlygate4sd3_1 hold41 (.A(\intercon.wbm0_adr_i[20] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net170)); + sky130_fd_sc_hd__dlygate4sd3_1 hold42 (.A(\levenshtein_ctrl.pm[11] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net171)); + sky130_fd_sc_hd__dlygate4sd3_1 hold43 (.A(\levenshtein_ctrl.initial_vp[7] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net172)); + sky130_fd_sc_hd__dlygate4sd3_1 hold44 (.A(\levenshtein_ctrl.idx[15] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net173)); + sky130_fd_sc_hd__dlygate4sd3_1 hold45 (.A(\levenshtein_ctrl.dict_address[9] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net174)); + sky130_fd_sc_hd__dlygate4sd3_1 hold46 (.A(_0086_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net175)); + sky130_fd_sc_hd__dlygate4sd3_1 hold47 (.A(\levenshtein_ctrl.initial_vp[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net176)); + sky130_fd_sc_hd__dlygate4sd3_1 hold48 (.A(\levenshtein_ctrl.word_length[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net177)); + sky130_fd_sc_hd__dlygate4sd3_1 hold49 (.A(\intercon.wbm0_adr_i[18] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net178)); + sky130_fd_sc_hd__dlygate4sd3_1 hold50 (.A(\levenshtein_ctrl.word_length[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net179)); + sky130_fd_sc_hd__dlygate4sd3_1 hold51 (.A(\levenshtein_ctrl.initial_vp[6] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net180)); + sky130_fd_sc_hd__dlygate4sd3_1 hold52 (.A(\intercon.wbm0_adr_i[19] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net181)); + sky130_fd_sc_hd__dlygate4sd3_1 hold53 (.A(\levenshtein_ctrl.idx[13] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net182)); + sky130_fd_sc_hd__dlygate4sd3_1 hold54 (.A(\levenshtein_ctrl.word_length[4] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net183)); + sky130_fd_sc_hd__dlygate4sd3_1 hold55 (.A(\levenshtein_ctrl.initial_vp[8] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net184)); + sky130_fd_sc_hd__dlygate4sd3_1 hold56 (.A(\levenshtein_ctrl.initial_vp[12] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net185)); + sky130_fd_sc_hd__dlygate4sd3_1 hold57 (.A(\levenshtein_ctrl.initial_vp[9] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net186)); + sky130_fd_sc_hd__dlygate4sd3_1 hold58 (.A(\intercon.wbm0_adr_i[17] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net187)); + sky130_fd_sc_hd__dlygate4sd3_1 hold59 (.A(_0037_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net188)); + sky130_fd_sc_hd__dlygate4sd3_1 hold60 (.A(\levenshtein_ctrl.mask[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net189)); + sky130_fd_sc_hd__dlygate4sd3_1 hold61 (.A(\levenshtein_ctrl.initial_vp[14] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net190)); + sky130_fd_sc_hd__dlygate4sd3_1 hold62 (.A(\levenshtein_ctrl.pm[10] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net191)); + sky130_fd_sc_hd__dlygate4sd3_1 hold63 (.A(\levenshtein_ctrl.idx[10] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net192)); + sky130_fd_sc_hd__dlygate4sd3_1 hold64 (.A(_0180_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net193)); + sky130_fd_sc_hd__dlygate4sd3_1 hold65 (.A(\levenshtein_ctrl.mask[13] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net194)); + sky130_fd_sc_hd__dlygate4sd3_1 hold66 (.A(\levenshtein_ctrl.word_length[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net195)); + sky130_fd_sc_hd__dlygate4sd3_1 hold67 (.A(\levenshtein_ctrl.idx[14] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net196)); + sky130_fd_sc_hd__dlygate4sd3_1 hold68 (.A(\intercon.wbm0_adr_i[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net197)); + sky130_fd_sc_hd__dlygate4sd3_1 hold69 (.A(\levenshtein_ctrl.pm[9] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net198)); + sky130_fd_sc_hd__dlygate4sd3_1 hold70 (.A(\levenshtein_ctrl.initial_vp[11] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net199)); + sky130_fd_sc_hd__dlygate4sd3_1 hold71 (.A(\levenshtein_ctrl.word_length[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net200)); + sky130_fd_sc_hd__dlygate4sd3_1 hold72 (.A(\intercon.wbm0_adr_i[7] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net201)); + sky130_fd_sc_hd__dlygate4sd3_1 hold73 (.A(\intercon.wbm0_adr_i[4] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net202)); + sky130_fd_sc_hd__dlygate4sd3_1 hold74 (.A(\levenshtein_ctrl.mask[6] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net203)); + sky130_fd_sc_hd__dlygate4sd3_1 hold75 (.A(\intercon.wbm0_adr_i[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net204)); + sky130_fd_sc_hd__dlygate4sd3_1 hold76 (.A(\intercon.wbm0_adr_i[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net205)); + sky130_fd_sc_hd__dlygate4sd3_1 hold77 (.A(\levenshtein_ctrl.initial_vp[10] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net206)); + sky130_fd_sc_hd__dlygate4sd3_1 hold78 (.A(\levenshtein_ctrl.idx[6] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net207)); + sky130_fd_sc_hd__dlygate4sd3_1 hold79 (.A(_0176_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net208)); + sky130_fd_sc_hd__dlygate4sd3_1 hold80 (.A(\intercon.wbm0_adr_i[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net209)); + sky130_fd_sc_hd__dlygate4sd3_1 hold81 (.A(\intercon.wbm0_adr_i[9] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net210)); + sky130_fd_sc_hd__dlygate4sd3_1 hold82 (.A(\intercon.wbm0_adr_i[12] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net211)); + sky130_fd_sc_hd__dlygate4sd3_1 hold83 (.A(\intercon.wbm0_adr_i[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net212)); + sky130_fd_sc_hd__dlygate4sd3_1 hold84 (.A(\levenshtein_ctrl.initial_vp[15] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net213)); + sky130_fd_sc_hd__dlygate4sd3_1 hold85 (.A(\levenshtein_ctrl.pm[15] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net214)); + sky130_fd_sc_hd__dlygate4sd3_1 hold86 (.A(\levenshtein_ctrl.initial_vp[13] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net215)); + sky130_fd_sc_hd__dlygate4sd3_1 hold87 (.A(\levenshtein_ctrl.mask[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net216)); + sky130_fd_sc_hd__dlygate4sd3_1 hold88 (.A(\levenshtein_ctrl.initial_vp[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net217)); + sky130_fd_sc_hd__dlygate4sd3_1 hold89 (.A(\levenshtein_ctrl.mask[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net218)); + sky130_fd_sc_hd__dlygate4sd3_1 hold90 (.A(\intercon.wbm0_adr_i[8] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net219)); + sky130_fd_sc_hd__dlygate4sd3_1 hold91 (.A(\levenshtein_ctrl.mask[10] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net220)); + sky130_fd_sc_hd__dlygate4sd3_1 hold92 (.A(\levenshtein_ctrl.mask[7] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net221)); + sky130_fd_sc_hd__dlygate4sd3_1 hold93 (.A(\levenshtein_ctrl.mask[14] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net222)); + sky130_fd_sc_hd__dlygate4sd3_1 hold94 (.A(\levenshtein_ctrl.mask[4] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net223)); + sky130_fd_sc_hd__dlygate4sd3_1 hold95 (.A(\levenshtein_ctrl.mask[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net224)); + sky130_fd_sc_hd__dlygate4sd3_1 hold96 (.A(\levenshtein_ctrl.pm[13] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net225)); + sky130_fd_sc_hd__dlygate4sd3_1 hold97 (.A(\levenshtein_ctrl.idx[4] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net226)); + sky130_fd_sc_hd__dlygate4sd3_1 hold98 (.A(\levenshtein_ctrl.pm[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net227)); + sky130_fd_sc_hd__dlygate4sd3_1 hold99 (.A(\levenshtein_ctrl.idx[8] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net228)); + sky130_fd_sc_hd__dlygate4sd3_1 hold100 (.A(\intercon.wbm0_adr_i[6] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net229)); + sky130_fd_sc_hd__dlygate4sd3_1 hold101 (.A(\levenshtein_ctrl.idx[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net230)); + sky130_fd_sc_hd__dlygate4sd3_1 hold102 (.A(\levenshtein_ctrl.mask[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net231)); + sky130_fd_sc_hd__dlygate4sd3_1 hold103 (.A(\levenshtein_ctrl.mask[11] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net232)); + sky130_fd_sc_hd__dlygate4sd3_1 hold104 (.A(\levenshtein_ctrl.idx[2] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net233)); + sky130_fd_sc_hd__dlygate4sd3_1 hold105 (.A(\levenshtein_ctrl.mask[12] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net234)); + sky130_fd_sc_hd__dlygate4sd3_1 hold106 (.A(\levenshtein_ctrl.mask[8] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net235)); + sky130_fd_sc_hd__dlygate4sd3_1 hold107 (.A(\intercon.wbm0_adr_i[21] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net236)); + sky130_fd_sc_hd__dlygate4sd3_1 hold108 (.A(\levenshtein_ctrl.mask[9] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net237)); + sky130_fd_sc_hd__dlygate4sd3_1 hold109 (.A(\intercon.wbm0_adr_i[15] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net238)); + sky130_fd_sc_hd__dlygate4sd3_1 hold110 (.A(\levenshtein_ctrl.dict_address[20] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net239)); + sky130_fd_sc_hd__dlygate4sd3_1 hold111 (.A(\uart.clk_counter[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net240)); + sky130_fd_sc_hd__dlygate4sd3_1 hold112 (.A(\levenshtein_ctrl.pm[12] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net241)); + sky130_fd_sc_hd__dlygate4sd3_1 hold113 (.A(\spi_ctrl.bit_counter[3] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net242)); + sky130_fd_sc_hd__dlygate4sd3_1 hold114 (.A(\uart.state[6] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net243)); + sky130_fd_sc_hd__dlygate4sd3_1 hold115 (.A(\levenshtein_ctrl.pm[8] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net244)); + sky130_fd_sc_hd__dlygate4sd3_1 hold116 (.A(\levenshtein_ctrl.idx[0] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net245)); + sky130_fd_sc_hd__dlygate4sd3_1 hold117 (.A(\intercon.wbm0_adr_i[22] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net246)); + sky130_fd_sc_hd__dlygate4sd3_1 hold118 (.A(\levenshtein_ctrl.idx[5] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net247)); + sky130_fd_sc_hd__dlygate4sd3_1 hold119 (.A(\intercon.wbm0_dat_i[1] ), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net248)); + sky130_fd_sc_hd__clkbuf_1 wire1 (.A(_0940_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR), + .X(net249)); + sky130_fd_sc_hd__diode_2 ANTENNA_1 (.DIODE(_0302_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA_2 (.DIODE(_0338_), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA_3 (.DIODE(net114), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA_4 (.DIODE(net114), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA_5 (.DIODE(net129), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA_6 (.DIODE(net129), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA_7 (.DIODE(net113), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__diode_2 ANTENNA_8 (.DIODE(net113), + .VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_ef_sc_hd__decap_12 FILLER_0_0_15 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__fill_1 FILLER_0_0_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_0_29 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_0_37 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_0_45 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_0_55 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_0_57 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_0_65 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_0_73 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_0_83 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_0_85 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_0_110 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_0_124 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_0_132 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_0_141 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_0_167 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_0_217 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_0_258 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_0_279 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_0_288 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_0_295 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_0_305 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_1_3 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_1_15 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_1_29 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_1_55 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_1_95 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_1_110 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_1_113 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_1_167 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_1_186 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_1_279 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_1_298 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_1_334 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_2_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_2_32 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_2_83 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_2_112 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_2_135 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_2_139 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_2_141 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_2_156 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_2_160 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_2_164 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_2_180 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_2_185 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_2_197 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_2_209 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_2_224 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_2_242 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_2_309 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_2_333 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_3_33 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_3_55 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_3_57 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_3_75 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_3_113 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_3_139 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_3_166 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_3_184 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_3_192 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_3_262 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_4_3 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_4_29 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_4_67 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_4_130 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_4_138 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_4_148 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_4_162 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_4_167 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_4_197 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_4_307 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_4_316 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_5_3 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_5_14 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_5_61 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_5_86 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_5_111 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_5_113 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_5_146 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_5_165 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_5_231 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_5_279 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_6_3 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_6_26 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_6_29 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_6_44 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_6_106 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_6_125 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_6_131 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_6_139 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_6_141 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_6_152 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_6_163 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_6_211 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_6_244 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_6_253 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_6_279 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_6_297 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_6_306 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_7_46 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_7_65 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_7_78 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_7_98 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_7_102 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_7_109 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_7_142 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_7_146 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_7_164 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_7_184 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_7_222 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_7_254 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_7_264 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_7_301 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_8_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_8_29 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_8_50 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_8_88 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_8_118 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_8_130 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_8_138 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_8_141 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_8_155 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_8_173 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_8_218 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_8_238 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_8_269 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_8_307 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_8_309 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_9_3 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_9_9 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_9_16 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_9_41 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_9_55 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_9_57 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_9_92 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_9_104 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_9_113 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_9_143 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_9_154 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_9_178 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_9_189 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_9_198 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_9_223 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_9_233 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_9_281 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_9_314 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_10_19 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_10_29 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_10_73 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_10_85 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_10_112 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_10_138 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_10_141 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_10_162 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_10_234 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_10_258 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_10_306 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_10_324 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_11_3 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_11_57 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_11_109 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_11_113 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_11_126 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_11_193 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_11_206 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_11_223 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_11_251 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_11_295 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_11_311 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_11_334 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_12_3 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_12_7 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_12_13 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_12_26 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_12_50 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_12_68 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_12_112 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_12_120 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_12_138 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_12_167 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_12_177 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_12_249 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_12_286 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_12_298 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_12_306 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_12_309 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_12_318 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_13_57 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_13_74 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_13_82 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_13_94 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_13_106 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_13_118 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_13_138 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_13_167 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_13_174 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_13_185 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_13_206 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_13_244 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_13_250 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_13_289 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_13_301 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_13_325 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_13_333 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_14_3 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_14_14 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_14_26 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_14_45 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_14_83 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_14_95 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_14_114 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_14_131 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_14_173 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_14_179 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_14_250 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_15_3 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_15_52 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_15_62 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_15_129 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_15_146 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_15_172 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_15_203 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_15_221 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_15_246 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_15_307 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_16_3 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_16_7 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_16_171 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_16_218 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_16_305 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_16_309 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_16_332 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_17_3 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_17_39 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_17_78 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_17_111 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_17_149 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_17_166 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_17_169 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_17_194 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_17_213 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_17_263 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_17_305 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_18_58 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_18_102 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_18_141 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_18_157 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_18_175 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_18_194 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_18_197 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_18_223 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_18_251 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_18_298 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_19_3 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_19_14 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_19_47 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_19_166 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_19_169 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_19_225 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_19_279 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_19_332 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_20_3 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_20_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_20_29 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_20_55 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_20_101 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_20_127 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_20_141 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_20_156 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_20_177 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_20_197 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_20_214 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_20_248 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_20_253 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_20_296 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_20_334 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_21_3 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_21_31 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_21_62 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_21_117 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_21_166 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_21_169 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_21_191 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_21_234 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_21_259 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_21_273 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_21_290 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_22_7 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_22_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_22_45 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_22_62 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_22_107 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_22_137 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_22_150 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_22_174 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_22_186 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_22_194 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_22_197 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_22_207 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_22_214 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_22_226 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_22_253 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_22_272 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_22_284 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_22_306 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_22_315 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_23_25 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_23_57 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_23_71 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_23_103 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_23_169 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_23_191 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_23_200 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_23_208 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_23_235 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_23_310 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_23_333 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_24_50 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_24_75 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_24_90 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_24_132 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_24_157 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_24_163 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_24_169 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_24_184 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_24_194 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_24_197 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_24_207 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_24_211 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_24_227 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_24_231 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_24_248 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_24_259 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_24_268 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_24_280 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_24_309 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_24_322 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_24_334 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_25_113 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_25_125 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_25_164 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_25_191 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_25_205 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_25_213 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_25_223 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_25_241 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_25_278 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_25_287 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_25_334 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_26_3 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_26_17 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_26_49 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_26_104 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_26_113 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_26_130 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_26_138 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_26_159 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_26_171 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_26_213 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_6 FILLER_0_26_246 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_26_298 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_26_319 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_26_334 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_27_45 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_27_54 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_27_76 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_27_121 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_27_129 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_27_136 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_27_146 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_27_164 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_27_169 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_27_184 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_27_198 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_27_259 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_27_268 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_27_281 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_27_298 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_27_307 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_28_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_28_29 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_28_134 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_28_178 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_28_229 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_28_243 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_28_251 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_28_266 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_28_278 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_28_333 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_29_33 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_29_57 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_29_83 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_29_136 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_29_161 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_29_173 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_29_204 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_29_229 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_29_261 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_29_272 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_30_22 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_30_85 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_30_102 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_30_120 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_30_138 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_30_144 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_30_171 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_30_190 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_30_206 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_30_249 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_30_253 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_30_257 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_30_269 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_30_287 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_30_317 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_31_83 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_31_110 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_31_125 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_31_136 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_31_148 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_31_167 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_31_222 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_31_225 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_6 FILLER_0_31_243 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_31_249 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_31_266 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_32_8 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_32_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_32_29 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_32_83 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_8 FILLER_0_32_177 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_32_185 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_32_213 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_4 FILLER_0_32_234 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_32_250 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_32_333 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_33_27 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_33_46 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_33_119 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_33_141 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_33_167 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_33_190 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_33_264 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_33_273 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_33_281 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_33_298 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_33_333 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_34_3 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_34_21 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_34_74 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_34_85 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_34_100 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_34_129 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_34_147 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_34_167 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_34_179 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_34_195 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_34_202 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_34_231 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_34_251 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_34_257 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_34_270 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_34_317 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_34_334 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_35_17 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_35_113 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_35_151 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_35_172 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_35_177 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_ef_sc_hd__decap_12 FILLER_0_35_197 (.VPWR(VPWR), + .VGND(VGND), + .VPB(VPWR), + .VNB(VGND)); + sky130_fd_sc_hd__decap_4 FILLER_0_35_209 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_35_254 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_35_279 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_35_281 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_35_310 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_35_319 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_35_333 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_36_3 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_36_82 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_36_85 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_36_138 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_36_195 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_36_214 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_36_226 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_36_264 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_37_3 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_37_24 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_37_54 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_37_81 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_37_99 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_37_123 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_37_188 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_37_206 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_37_222 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_37_244 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_37_261 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_37_278 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_37_334 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_38_29 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_38_57 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_38_65 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_38_83 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__decap_3 FILLER_0_38_109 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_38_117 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_38_123 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_38_166 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_38_183 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_38_188 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_38_205 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_38_223 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_38_229 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_38_251 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_38_257 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_38_278 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_1 FILLER_0_38_293 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + sky130_fd_sc_hd__fill_2 FILLER_0_38_317 (.VGND(VGND), + .VNB(VGND), + .VPB(VPWR), + .VPWR(VPWR)); + assign uio_oe[0] = net127; + assign uio_oe[2] = net110; +endmodule