diff --git a/.github/workflows/docs.yaml b/.github/workflows/docs.yaml index 75ca2b7..8aae962 100644 --- a/.github/workflows/docs.yaml +++ b/.github/workflows/docs.yaml @@ -14,4 +14,4 @@ jobs: submodules: recursive - name: Build docs - uses: TinyTapeout/tt-gds-action/docs@tt08 + uses: TinyTapeout/tt-gds-action/docs@tt09 diff --git a/.github/workflows/fpga.yaml b/.github/workflows/fpga.yaml index 8fb5f62..1d54c35 100644 --- a/.github/workflows/fpga.yaml +++ b/.github/workflows/fpga.yaml @@ -16,4 +16,4 @@ jobs: submodules: recursive - name: FPGA bitstream for TT ASIC Sim (ICE40UP5K) - uses: TinyTapeout/tt-gds-action/fpga/ice40up5k@tt08 + uses: TinyTapeout/tt-gds-action/fpga/ice40up5k@tt09 diff --git a/.github/workflows/gds.yaml b/.github/workflows/gds.yaml index d1db9b5..cb22e45 100644 --- a/.github/workflows/gds.yaml +++ b/.github/workflows/gds.yaml @@ -14,7 +14,7 @@ jobs: submodules: recursive - name: Build GDS - uses: TinyTapeout/tt-gds-action@tt08 + uses: TinyTapeout/tt-gds-action@tt09 with: flow: openlane2 @@ -23,7 +23,7 @@ jobs: runs-on: ubuntu-latest steps: - name: Run Tiny Tapeout Precheck - uses: TinyTapeout/tt-gds-action/precheck@tt08 + uses: TinyTapeout/tt-gds-action/precheck@tt09 gl_test: needs: gds @@ -35,7 +35,7 @@ jobs: submodules: recursive - name: GL test - uses: TinyTapeout/tt-gds-action/gl_test@tt08 + uses: TinyTapeout/tt-gds-action/gl_test@tt09 viewer: needs: gds @@ -44,4 +44,4 @@ jobs: pages: write # to deploy to Pages id-token: write # to verify the deployment originates from an appropriate source steps: - - uses: TinyTapeout/tt-gds-action/viewer@tt08 + - uses: TinyTapeout/tt-gds-action/viewer@tt09