diff --git a/features/LAY000_Presentation-layer-assignment.feature b/features/LAY000_Presentation-layer-assignment.feature new file mode 100644 index 00000000..b41b5129 --- /dev/null +++ b/features/LAY000_Presentation-layer-assignment.feature @@ -0,0 +1,19 @@ +@implementer-agreement +@LAY +@version1 +@E00020 + +Feature: LAY000 - Presentation Layer Assignment + The rule verifies the presence of IFC entities used to assign layers (also known as, CAD layer) to collection of elements. + This is used mainly for grouping and visibility control, and in general to organise geometry into groups that may be shown or hidden. + https://ifc43-docs.standards.buildingsmart.org/IFC/RELEASE/IFC4x3/HTML/concepts/Product_Shape/Product_Geometry_Layer/content.html + + + Scenario: Check for activation + + Given an IfcProduct + Given its attribute Representation + Given its attribute Representations + Given its attribute LayerAssignments + + Then The IFC model contains information on the selected functional part diff --git a/test/files/lay000/pass-lay000-activated_correct_layer_assignment.ifc b/test/files/lay000/pass-lay000-activated_correct_layer_assignment.ifc new file mode 100644 index 00000000..77dc085b --- /dev/null +++ b/test/files/lay000/pass-lay000-activated_correct_layer_assignment.ifc @@ -0,0 +1,705 @@ +ISO-10303-21; +HEADER; +FILE_DESCRIPTION(('ViewDefinition[CoordinationView]'),'2;1'); +FILE_NAME('C:/Example/Path/Example_File.IFC','2024-07-04T11:07:30',(''),(''),'Example IFC','Example Architecture',''); +FILE_SCHEMA(('IFC4')); +ENDSEC; +DATA; +#1=IFCOWNERHISTORY(#2,#6,.READWRITE.,.NOCHANGE.,$,$,$,1717175251); +#2=IFCPERSONANDORGANIZATION(#3,#4,$); +#3=IFCPERSON($,'LyJW9CgT','qn5b8q',$,$,$,$,$); +#4=IFCORGANIZATION($,'VHvFjj','RJlCa7',$,$); +#5=IFCORGANIZATION($,'NfxCxp','UxfxG4JeSg',$,$); +#6=IFCAPPLICATION(#5,'usBIM(k)','qca8Kedy','3tb9FTNh'); +#7=IFCPROJECT('0sPVYZ6W50IgvZvKUcnIDH',#1,'J82Cw3CF','JO2nuOplxQ',$,$,$,(#19),#11); +#8=IFCPROPERTYSET('2V1QcWSxb2JeeuBzPj0HiY',#1,'acWSAbGf','R8rjU42',(#9)); +#9=IFCPROPERTYSINGLEVALUE('nOOicDw7','Vi1Guc',IFCINTEGER(2),$); +#10=IFCRELDEFINESBYPROPERTIES('2OORkakxn9off7ouiac54e',#1,'RYMJzD','LAUzaj1',(#7),#8); +#11=IFCUNITASSIGNMENT((#12,#13,#14,#15)); +#12=IFCSIUNIT(*,.LENGTHUNIT.,$,.METRE.); +#13=IFCSIUNIT(*,.AREAUNIT.,$,.SQUARE_METRE.); +#14=IFCSIUNIT(*,.VOLUMEUNIT.,$,.CUBIC_METRE.); +#15=IFCCONVERSIONBASEDUNIT(#16,.PLANEANGLEUNIT.,'0LRIo3',#17); +#16=IFCDIMENSIONALEXPONENTS(0,0,0,0,0,0,0); +#17=IFCMEASUREWITHUNIT(IFCRATIOMEASURE(1.74532925E-2),#18); +#18=IFCSIUNIT(*,.PLANEANGLEUNIT.,$,.RADIAN.); +#19=IFCGEOMETRICREPRESENTATIONCONTEXT('3D','Model',3,1.E-4,#20,#22); +#20=IFCAXIS2PLACEMENT3D(#21,$,$); +#21=IFCCARTESIANPOINT((0.,0.,0.)); +#22=IFCDIRECTION((0.,1.)); +#23=IFCGEOMETRICREPRESENTATIONSUBCONTEXT('Body','Model',*,*,*,*,#19,$,.MODEL_VIEW.,$); +#24=IFCMATERIAL('AAED9oV','g5RW3aKB9',$); +#25=IFCMATERIALDEFINITIONREPRESENTATION('wunw7SNFWQ','BFOfz1R',(#26),#24); +#26=IFCSTYLEDREPRESENTATION(#19,$,$,(#27)); +#27=IFCSTYLEDITEM($,(#29),'HjxM4N'); +#28=IFCSURFACESTYLE('19VBfxNOQt',.BOTH.,(#30)); +#29=IFCPRESENTATIONSTYLEASSIGNMENT((#28)); +#30=IFCSURFACESTYLERENDERING(#31,0.,#32,$,$,$,#33,$,.NOTDEFINED.); +#31=IFCCOLOURRGB('UDMS7rp',1.,1.,9.17647058823529E-1); +#32=IFCCOLOURRGB('IQemQL80',1.,1.,9.17647058823529E-1); +#33=IFCCOLOURRGB('92RunbzgVb',0.,0.,0.); +#34=IFCMATERIAL('vxCq6wlhB','3uhGizm15',$); +#35=IFCMATERIALDEFINITIONREPRESENTATION('WP1Feu7Ly','oiAlJo',(#36),#34); +#36=IFCSTYLEDREPRESENTATION(#19,$,$,(#37)); +#37=IFCSTYLEDITEM($,(#39),'1bsqLTx9th'); +#38=IFCSURFACESTYLE('WdXDWfOXL',.BOTH.,(#40)); +#39=IFCPRESENTATIONSTYLEASSIGNMENT((#38)); +#40=IFCSURFACESTYLERENDERING(#41,0.,#42,$,$,$,#43,$,.NOTDEFINED.); +#41=IFCCOLOURRGB('oxrhu1YbT',8.11764705882353E-1,9.05882352941176E-1,9.05882352941176E-1); +#42=IFCCOLOURRGB('6LC6cS6R',8.11764705882353E-1,9.05882352941176E-1,9.05882352941176E-1); +#43=IFCCOLOURRGB('IeKtmD9t1',0.,0.,0.); +#44=IFCMATERIAL('ua7mRf','2Zmq0pBM',$); +#45=IFCMATERIALDEFINITIONREPRESENTATION('sGYrFfiEAy','nNWZ2Vf9',(#46),#44); +#46=IFCSTYLEDREPRESENTATION(#19,$,$,(#47)); +#47=IFCSTYLEDITEM($,(#49),'MIEVEe'); +#48=IFCSURFACESTYLE('n5K8gADX',.BOTH.,(#50)); +#49=IFCPRESENTATIONSTYLEASSIGNMENT((#48)); +#50=IFCSURFACESTYLERENDERING(#51,0.,#52,$,$,$,#53,$,.NOTDEFINED.); +#51=IFCCOLOURRGB('K7OJHcnhA',1.,1.,1.); +#52=IFCCOLOURRGB('04hVaE6E',1.,1.,1.); +#53=IFCCOLOURRGB('6Zs1TE3x',0.,0.,0.); +#54=IFCMATERIAL('wAOktOG5do','mWgq2SlIv',$); +#55=IFCMATERIALDEFINITIONREPRESENTATION('WdfOEH1','slISwxTc',(#56),#54); +#56=IFCSTYLEDREPRESENTATION(#19,$,$,(#57)); +#57=IFCSTYLEDITEM($,(#59),'r1EBUqK'); +#58=IFCSURFACESTYLE('9qb1FZ8',.BOTH.,(#60)); +#59=IFCPRESENTATIONSTYLEASSIGNMENT((#58)); +#60=IFCSURFACESTYLERENDERING(#61,5.99999994039536E-1,#62,$,$,$,#63,$,.NOTDEFINED.); +#61=IFCCOLOURRGB('pYEErqihNj',1.,1.,1.); +#62=IFCCOLOURRGB('lbk7xGoSUc',1.,1.,1.); +#63=IFCCOLOURRGB('0Wj4BgImf',4.6875E-2,4.6875E-2,4.6875E-2); +#64=IFCMATERIAL('1K88eMG4','6rneA18Nm',$); +#65=IFCMATERIALDEFINITIONREPRESENTATION('xfv3IZfY','7zDpO2F9',(#66),#64); +#66=IFCSTYLEDREPRESENTATION(#19,$,$,(#67)); +#67=IFCSTYLEDITEM($,(#69),'p2k9Fig6'); +#68=IFCSURFACESTYLE('IoUdzR2zL',.BOTH.,(#70)); +#69=IFCPRESENTATIONSTYLEASSIGNMENT((#68)); +#70=IFCSURFACESTYLERENDERING(#71,0.,#72,$,$,$,#73,$,.NOTDEFINED.); +#71=IFCCOLOURRGB('VHQCjW',4.03921574354172E-1,3.29411774873734E-1,2.43137255311012E-1); +#72=IFCCOLOURRGB('ziKQjAt3NA',4.03921574354172E-1,3.29411774873734E-1,2.43137255311012E-1); +#73=IFCCOLOURRGB('FwMrwtGK',0.,0.,0.); +#74=IFCMATERIAL('k0tTNiLC','pl30UkY1',$); +#75=IFCMATERIALDEFINITIONREPRESENTATION('GF2nVl','VnoNBLk',(#76),#74); +#76=IFCSTYLEDREPRESENTATION(#19,$,$,(#77)); +#77=IFCSTYLEDITEM($,(#79),'7ool28'); +#78=IFCSURFACESTYLE('eLFAMd',.BOTH.,(#80)); +#79=IFCPRESENTATIONSTYLEASSIGNMENT((#78)); +#80=IFCSURFACESTYLERENDERING(#81,0.,#82,$,$,$,#83,$,.NOTDEFINED.); +#81=IFCCOLOURRGB('4Wo0F995oI',6.50980412960052E-1,6.70588254928589E-1,7.09803938865662E-1); +#82=IFCCOLOURRGB('D3gFT2UMk',6.50980412960052E-1,6.70588254928589E-1,7.09803938865662E-1); +#83=IFCCOLOURRGB('PjbxT40GM1',0.,0.,0.); +#84=IFCREPRESENTATIONMAP(#87,#88); +#85=IFCDIRECTION((0.,0.,1.)); +#86=IFCDIRECTION((1.,0.,0.)); +#87=IFCAXIS2PLACEMENT3D(#21,#85,#86); +#88=IFCSHAPEREPRESENTATION(#23,'Body','Tessellation',(#89,#108,#131,#158,#167,#190,#199,#208,#217,#244)); +#89=IFCPOLYGONALFACESET(#90,$,(#91,#92,#93,#94,#95,#96,#97,#98,#99,#100,#101,#102,#103,#104,#105,#106),$); +#90=IFCCARTESIANPOINTLIST3D(((-6.49999976158142E-1,1.45000004768372,-3.99999991059303E-2),(-6.49999976158142E-1,1.45000004768372,3.99999991059303E-2),(-6.49999976158142E-1,5.00000007450581E-2,3.99999991059303E-2),(-6.49999976158142E-1,5.00000007450581E-2,-3.99999991059303E-2),(-6.99999988079071E-1,1.5,3.99999991059303E-2),(-6.99999988079071E-1,0.,3.99999991059303E-2),(-6.99999988079071E-1,1.5,-3.99999991059303E-2),(-6.99999988079071E-1,0.,-3.99999991059303E-2),(6.49999976158142E-1,5.0000000745058E-2,3.99999991059303E-2),(6.49999976158142E-1,5.0000000745058E-2,-3.99999991059303E-2),(6.99999988079071E-1,0.,3.99999991059303E-2),(6.49999976158142E-1,5.00000007450581E-2,3.99999991059303E-2),(6.99999988079071E-1,0.,-3.99999991059303E-2),(6.49999976158142E-1,5.00000007450581E-2,-3.99999991059303E-2),(6.49999976158142E-1,1.45000004768372,3.99999991059303E-2),(6.49999976158142E-1,1.45000004768372,-3.99999991059303E-2),(6.99999988079071E-1,1.5,3.99999991059303E-2),(6.99999988079071E-1,1.5,-3.99999991059303E-2))); +#91=IFCINDEXEDPOLYGONALFACE((1,2,3,4)); +#92=IFCINDEXEDPOLYGONALFACE((2,5,6,3)); +#93=IFCINDEXEDPOLYGONALFACE((5,7,8,6)); +#94=IFCINDEXEDPOLYGONALFACE((7,1,4,8)); +#95=IFCINDEXEDPOLYGONALFACE((4,3,9,10)); +#96=IFCINDEXEDPOLYGONALFACE((3,6,11,12)); +#97=IFCINDEXEDPOLYGONALFACE((6,8,13,11)); +#98=IFCINDEXEDPOLYGONALFACE((8,4,14,13)); +#99=IFCINDEXEDPOLYGONALFACE((14,12,15,16)); +#100=IFCINDEXEDPOLYGONALFACE((12,11,17,15)); +#101=IFCINDEXEDPOLYGONALFACE((11,13,18,17)); +#102=IFCINDEXEDPOLYGONALFACE((13,14,16,18)); +#103=IFCINDEXEDPOLYGONALFACE((16,15,2,1)); +#104=IFCINDEXEDPOLYGONALFACE((15,17,5,2)); +#105=IFCINDEXEDPOLYGONALFACE((17,18,7,5)); +#106=IFCINDEXEDPOLYGONALFACE((18,16,1,7)); +#107=IFCSTYLEDITEM(#89,(#69),'jmF8hV'); +#108=IFCPOLYGONALFACESET(#109,$,(#110,#111,#112,#113,#114,#115,#116,#117,#118,#119,#120,#121,#122,#123,#124,#125,#126,#127,#128,#129),$); +#109=IFCCARTESIANPOINTLIST3D(((-6.10000014305115E-1,1.4099999666214,-3.50000001490116E-2),(-6.00000019185245E-1,1.39999997615814,-2.50000050291419E-2),(-6.00000019185245E-1,1.00000001490116E-1,-2.50000050291419E-2),(-6.10000014305115E-1,9.00000035762787E-2,-3.50000001490116E-2),(-6.00000023841858E-1,1.39999997615814,-2.5000000372529E-2),(-6.00000023841858E-1,1.39999997615814,3.50000001490116E-2),(-6.00000023841858E-1,1.00000001490116E-1,3.50000001490116E-2),(-6.00000023841858E-1,1.00000001490116E-1,-2.5000000372529E-2),(-6.49999976158142E-1,1.45000004768372,3.50000001490116E-2),(-6.49999976158142E-1,5.00000007450581E-2,3.50000001490116E-2),(-6.49999976158142E-1,1.45000004768372,-3.50000001490116E-2),(-6.49999976158142E-1,5.00000007450581E-2,-3.50000001490116E-2),(-6.00000023841858E-1,1.00000002421439E-1,-2.50000013038516E-2),(-5.00000007450581E-2,1.00000002421439E-1,-2.50000013038516E-2),(-3.99999991059303E-2,9.00000035762787E-2,-3.50000001490116E-2),(-5.00000007450581E-2,1.00000001490116E-1,3.50000001490116E-2),(-5.00000007450581E-2,1.00000001490116E-1,-2.5000000372529E-2),(-1.33226762955019E-15,5.00000007450581E-2,3.50000001490116E-2),(-1.33226762955019E-15,5.00000007450581E-2,-3.50000001490116E-2),(-4.99999998137355E-2,1.00000001490116E-1,-2.49999994412065E-2),(-4.99999998137354E-2,1.39999997615814,-2.49999994412064E-2),(-3.99999991059303E-2,1.4099999666214,-3.50000001490116E-2),(-5.00000007450579E-2,1.39999997615814,3.50000001490116E-2),(-5.00000007450579E-2,1.39999997615814,-2.5000000372529E-2),(-1.22124532708767E-15,1.45000004768372,3.50000001490116E-2),(-5.00000007450581E-2,1.39999997615814,3.50000001490116E-2),(-1.22124532071838E-15,1.45000004768372,-3.50000001490116E-2),(-1.22124532071838E-15,1.45000004768372,3.50000001490116E-2),(-1.22124532708767E-15,1.45000004768372,-3.50000001490116E-2),(-5.00000007450581E-2,1.39999997150153,-2.50000050291419E-2),(-6.00000023841858E-1,1.39999997150153,-2.50000050291417E-2),(-6.10000014305115E-1,1.4099999666214,-3.50000001490115E-2),(-5.00000007450581E-2,1.39999997615814,-2.5000000372529E-2))); +#110=IFCINDEXEDPOLYGONALFACE((1,2,3,4)); +#111=IFCINDEXEDPOLYGONALFACE((5,6,7,8)); +#112=IFCINDEXEDPOLYGONALFACE((6,9,10,7)); +#113=IFCINDEXEDPOLYGONALFACE((9,11,12,10)); +#114=IFCINDEXEDPOLYGONALFACE((11,1,4,12)); +#115=IFCINDEXEDPOLYGONALFACE((4,13,14,15)); +#116=IFCINDEXEDPOLYGONALFACE((8,7,16,17)); +#117=IFCINDEXEDPOLYGONALFACE((7,10,18,16)); +#118=IFCINDEXEDPOLYGONALFACE((10,12,19,18)); +#119=IFCINDEXEDPOLYGONALFACE((12,4,15,19)); +#120=IFCINDEXEDPOLYGONALFACE((15,20,21,22)); +#121=IFCINDEXEDPOLYGONALFACE((17,16,23,24)); +#122=IFCINDEXEDPOLYGONALFACE((16,18,25,26)); +#123=IFCINDEXEDPOLYGONALFACE((18,19,27,28)); +#124=IFCINDEXEDPOLYGONALFACE((19,15,22,29)); +#125=IFCINDEXEDPOLYGONALFACE((22,30,31,32)); +#126=IFCINDEXEDPOLYGONALFACE((33,26,6,5)); +#127=IFCINDEXEDPOLYGONALFACE((26,25,9,6)); +#128=IFCINDEXEDPOLYGONALFACE((25,29,11,9)); +#129=IFCINDEXEDPOLYGONALFACE((29,22,1,11)); +#130=IFCSTYLEDITEM(#108,(#69),'yPWZMhtf'); +#131=IFCPOLYGONALFACESET(#132,$,(#133,#134,#135,#136,#137,#138,#139,#140,#141,#142,#143,#144,#145,#146,#147,#148,#149,#150,#151,#152,#153,#154,#155,#156),$); +#132=IFCCARTESIANPOINTLIST3D(((-5.84999978542328E-1,1.38499999046326,-9.99999977648258E-3),(-5.79999980982393E-1,1.37999999523163,-5.00000221654773E-3),(-5.79999980982393E-1,1.19999997317791E-1,-5.00000221654773E-3),(-5.84999978542328E-1,1.15000002086163E-1,-9.99999977648258E-3),(-5.79999983310699E-1,1.37999999523163,-4.99999988824129E-3),(-5.79999983310699E-1,1.37999999523163,4.99999988824129E-3),(-5.79999983310699E-1,1.19999997317791E-1,4.99999988824129E-3),(-5.79999983310699E-1,1.19999997317791E-1,-4.99999988824129E-3),(-5.84999980870634E-1,1.38499999046326,9.99999744817615E-3),(-5.84999980870634E-1,1.15000002086163E-1,9.99999744817615E-3),(-5.84999978542328E-1,1.38499999046326,9.99999977648258E-3),(-6.00000023841858E-1,1.39999997615814,9.99999977648258E-3),(-6.00000023841858E-1,1.00000001490116E-1,9.99999977648258E-3),(-5.84999978542328E-1,1.15000002086163E-1,9.99999977648258E-3),(-6.00000023841858E-1,1.39999997615814,-9.99999977648258E-3),(-6.00000023841858E-1,1.00000001490116E-1,-9.99999977648258E-3),(-5.79999983310699E-1,1.19999999646097E-1,-5.00000221654773E-3),(-7.00000002980232E-2,1.19999999646097E-1,-5.00000221654773E-3),(-6.49999976158142E-2,1.15000002086163E-1,-9.99999977648258E-3),(-7.00000002980232E-2,1.19999997317791E-1,4.99999988824129E-3),(-7.00000002980232E-2,1.19999997317791E-1,-4.99999988824129E-3),(-5.84999978542328E-1,1.14999999757856E-1,9.99999744817615E-3),(-6.49999976158142E-2,1.14999999757856E-1,9.99999744817613E-3),(-7.00000002980232E-2,1.19999997317791E-1,4.99999988824127E-3),(-5.00000007450581E-2,1.00000001490116E-1,9.99999977648258E-3),(-6.49999976158142E-2,1.15000002086163E-1,9.99999977648258E-3),(-5.00000007450581E-2,1.00000001490116E-1,-9.99999977648258E-3),(-6.99999989010394E-2,1.19999997317791E-1,-4.99999849125743E-3),(-6.99999989010394E-2,1.37999999523163,-4.99999849125743E-3),(-6.49999976158142E-2,1.38499999046326,-9.99999977648258E-3),(-7.00000002980232E-2,1.37999999523163,4.99999988824129E-3),(-7.00000002980232E-2,1.37999999523163,-4.99999988824129E-3),(-6.49999990127981E-2,1.15000002086163E-1,1.00000011734664E-2),(-6.4999999012798E-2,1.38499999046326,1.00000011734663E-2),(-7.00000002980231E-2,1.37999999523163,4.99999988824118E-3),(-5.00000007450581E-2,1.39999997615814,9.99999977648258E-3),(-6.49999976158142E-2,1.38499999046326,9.99999977648258E-3),(-5.00000007450579E-2,1.39999997615814,-9.99999977648258E-3),(-5.00000007450579E-2,1.39999997615814,9.99999977648258E-3),(-5.00000007450581E-2,1.39999997615814,-9.99999977648258E-3),(-7.00000002980232E-2,1.37999999290332,-5.00000221654769E-3),(-5.79999983310699E-1,1.37999999290332,-5.00000221654769E-3),(-6.49999976158142E-2,1.38499999279156,9.99999744817619E-3),(-5.84999978542328E-1,1.38499999279156,9.99999744817634E-3),(-5.79999983310699E-1,1.37999999523163,4.99999988824145E-3))); +#133=IFCINDEXEDPOLYGONALFACE((1,2,3,4)); +#134=IFCINDEXEDPOLYGONALFACE((5,6,7,8)); +#135=IFCINDEXEDPOLYGONALFACE((6,9,10,7)); +#136=IFCINDEXEDPOLYGONALFACE((11,12,13,14)); +#137=IFCINDEXEDPOLYGONALFACE((12,15,16,13)); +#138=IFCINDEXEDPOLYGONALFACE((15,1,4,16)); +#139=IFCINDEXEDPOLYGONALFACE((4,17,18,19)); +#140=IFCINDEXEDPOLYGONALFACE((8,7,20,21)); +#141=IFCINDEXEDPOLYGONALFACE((7,22,23,24)); +#142=IFCINDEXEDPOLYGONALFACE((14,13,25,26)); +#143=IFCINDEXEDPOLYGONALFACE((13,16,27,25)); +#144=IFCINDEXEDPOLYGONALFACE((16,4,19,27)); +#145=IFCINDEXEDPOLYGONALFACE((19,28,29,30)); +#146=IFCINDEXEDPOLYGONALFACE((21,20,31,32)); +#147=IFCINDEXEDPOLYGONALFACE((20,33,34,35)); +#148=IFCINDEXEDPOLYGONALFACE((26,25,36,37)); +#149=IFCINDEXEDPOLYGONALFACE((25,27,38,39)); +#150=IFCINDEXEDPOLYGONALFACE((27,19,30,40)); +#151=IFCINDEXEDPOLYGONALFACE((30,41,42,1)); +#152=IFCINDEXEDPOLYGONALFACE((32,31,6,5)); +#153=IFCINDEXEDPOLYGONALFACE((31,43,44,45)); +#154=IFCINDEXEDPOLYGONALFACE((37,36,12,11)); +#155=IFCINDEXEDPOLYGONALFACE((36,40,15,12)); +#156=IFCINDEXEDPOLYGONALFACE((40,30,1,15)); +#157=IFCSTYLEDITEM(#131,(#69),'NM0pR2geMN'); +#158=IFCPOLYGONALFACESET(#159,$,(#160,#161,#162,#163,#164,#165),$); +#159=IFCCARTESIANPOINTLIST3D(((-7.00000002980232E-2,1.37999999523163,-4.99999988824129E-3),(-7.00000002980232E-2,1.19999997317791E-1,-4.99999988824129E-3),(-5.79999983310699E-1,1.19999997317791E-1,-4.99999988824129E-3),(-5.79999983310699E-1,1.37999999523163,-4.99999988824129E-3),(-5.79999983310699E-1,1.37999999523163,4.99999988824129E-3),(-5.79999983310699E-1,1.19999997317791E-1,4.99999988824129E-3),(-7.00000002980232E-2,1.19999997317791E-1,4.99999988824129E-3),(-7.00000002980232E-2,1.37999999523163,4.99999988824129E-3),(-7.00000002980231E-2,1.37999999523163,-4.99999988824129E-3),(-7.00000002980231E-2,1.37999999523163,4.99999988824129E-3))); +#160=IFCINDEXEDPOLYGONALFACE((1,2,3,4)); +#161=IFCINDEXEDPOLYGONALFACE((5,6,7,8)); +#162=IFCINDEXEDPOLYGONALFACE((4,3,6,5)); +#163=IFCINDEXEDPOLYGONALFACE((3,2,7,6)); +#164=IFCINDEXEDPOLYGONALFACE((2,9,10,7)); +#165=IFCINDEXEDPOLYGONALFACE((1,4,5,8)); +#166=IFCSTYLEDITEM(#158,(#59),'LZmDFma'); +#167=IFCPOLYGONALFACESET(#168,$,(#169,#170,#171,#172,#173,#174,#175,#176,#177,#178,#179,#180,#181,#182,#183,#184,#185,#186,#187,#188),$); +#168=IFCCARTESIANPOINTLIST3D(((3.99999991059303E-2,1.4099999666214,-3.50000001490116E-2),(4.99999998137355E-2,1.39999997615814,-2.49999994412065E-2),(4.99999998137354E-2,1.00000001490116E-1,-2.49999994412064E-2),(3.99999991059303E-2,9.00000035762787E-2,-3.50000001490116E-2),(5.00000007450581E-2,1.39999997615814,-2.5000000372529E-2),(5.00000007450581E-2,1.39999997615814,3.50000001490116E-2),(5.00000007450579E-2,1.00000001490116E-1,3.50000001490116E-2),(5.00000007450579E-2,1.00000001490116E-1,-2.5000000372529E-2),(-1.22124532708767E-15,1.45000004768372,3.50000001490116E-2),(-1.33226762955019E-15,5.00000007450581E-2,3.50000001490116E-2),(5.00000007450581E-2,1.00000001490116E-1,3.50000001490116E-2),(-1.22124532708767E-15,1.45000004768372,-3.50000001490116E-2),(-1.33226763591948E-15,5.00000007450581E-2,-3.50000001490116E-2),(-1.33226763591948E-15,5.00000007450581E-2,3.50000001490116E-2),(-1.33226762955019E-15,5.00000007450581E-2,-3.50000001490116E-2),(5.00000007450581E-2,1.00000002421439E-1,-2.50000013038516E-2),(6.00000023841858E-1,1.00000002421439E-1,-2.50000013038516E-2),(6.10000014305115E-1,9.00000035762787E-2,-3.50000001490116E-2),(5.00000007450581E-2,1.00000001490116E-1,-2.5000000372529E-2),(6.00000023841858E-1,1.00000001490116E-1,3.50000001490116E-2),(6.00000023841858E-1,1.00000001490116E-1,-2.5000000372529E-2),(6.49999976158142E-1,5.00000007450581E-2,3.50000001490116E-2),(6.49999976158142E-1,5.00000007450581E-2,-3.50000001490116E-2),(6.00000019185245E-1,1.00000001490116E-1,-2.50000050291419E-2),(6.00000019185245E-1,1.39999997615814,-2.50000050291419E-2),(6.10000014305115E-1,1.4099999666214,-3.50000001490116E-2),(6.00000023841858E-1,1.39999997615814,3.50000001490116E-2),(6.00000023841858E-1,1.39999997615814,-2.5000000372529E-2),(6.49999976158142E-1,1.45000004768372,3.50000001490116E-2),(6.49999976158142E-1,1.45000004768372,-3.50000001490116E-2),(6.00000023841858E-1,1.39999997150153,-2.50000050291419E-2),(5.00000007450581E-2,1.39999997150153,-2.50000050291419E-2))); +#169=IFCINDEXEDPOLYGONALFACE((1,2,3,4)); +#170=IFCINDEXEDPOLYGONALFACE((5,6,7,8)); +#171=IFCINDEXEDPOLYGONALFACE((6,9,10,11)); +#172=IFCINDEXEDPOLYGONALFACE((9,12,13,14)); +#173=IFCINDEXEDPOLYGONALFACE((12,1,4,15)); +#174=IFCINDEXEDPOLYGONALFACE((4,16,17,18)); +#175=IFCINDEXEDPOLYGONALFACE((19,11,20,21)); +#176=IFCINDEXEDPOLYGONALFACE((11,10,22,20)); +#177=IFCINDEXEDPOLYGONALFACE((10,15,23,22)); +#178=IFCINDEXEDPOLYGONALFACE((15,4,18,23)); +#179=IFCINDEXEDPOLYGONALFACE((18,24,25,26)); +#180=IFCINDEXEDPOLYGONALFACE((21,20,27,28)); +#181=IFCINDEXEDPOLYGONALFACE((20,22,29,27)); +#182=IFCINDEXEDPOLYGONALFACE((22,23,30,29)); +#183=IFCINDEXEDPOLYGONALFACE((23,18,26,30)); +#184=IFCINDEXEDPOLYGONALFACE((26,31,32,1)); +#185=IFCINDEXEDPOLYGONALFACE((28,27,6,5)); +#186=IFCINDEXEDPOLYGONALFACE((27,29,9,6)); +#187=IFCINDEXEDPOLYGONALFACE((29,30,12,9)); +#188=IFCINDEXEDPOLYGONALFACE((30,26,1,12)); +#189=IFCSTYLEDITEM(#167,(#69),'RSHA1XxPb'); +#190=IFCPOLYGONALFACESET(#191,$,(#192,#193,#194,#195,#196,#197),$); +#191=IFCCARTESIANPOINTLIST3D(((4.50000017881393E-2,7.69999980926514E-1,3.50000001490116E-2),(4.50000017881393E-2,7.30000019073486E-1,3.50000001490116E-2),(4.99999988824129E-3,7.30000019073486E-1,3.50000001490116E-2),(4.99999988824129E-3,7.69999980926514E-1,3.50000001490116E-2),(4.99999988824129E-3,7.69999980926514E-1,4.30000014603138E-2),(4.99999988824129E-3,7.30000019073486E-1,4.30000014603138E-2),(4.50000017881393E-2,7.30000019073486E-1,4.30000014603138E-2),(4.50000017881393E-2,7.69999980926514E-1,4.30000014603138E-2))); +#192=IFCINDEXEDPOLYGONALFACE((1,2,3,4)); +#193=IFCINDEXEDPOLYGONALFACE((5,6,7,8)); +#194=IFCINDEXEDPOLYGONALFACE((4,3,6,5)); +#195=IFCINDEXEDPOLYGONALFACE((3,2,7,6)); +#196=IFCINDEXEDPOLYGONALFACE((2,1,8,7)); +#197=IFCINDEXEDPOLYGONALFACE((1,4,5,8)); +#198=IFCSTYLEDITEM(#190,(#79),'ZoFKry1w'); +#199=IFCPOLYGONALFACESET(#200,$,(#201,#202,#203,#204,#205,#206),$); +#200=IFCCARTESIANPOINTLIST3D(((3.24999988079071E-2,7.54999995231628E-1,4.30000014603138E-2),(3.24999988079071E-2,7.45000004768372E-1,4.30000014603138E-2),(1.75000000745058E-2,7.45000004768372E-1,4.30000014603138E-2),(1.75000000745058E-2,7.54999995231628E-1,4.30000014603138E-2),(1.75000000745058E-2,7.54999995231628E-1,8.29999968409538E-2),(1.75000000745058E-2,7.45000001043081E-1,7.30000026524067E-2),(3.24999988079071E-2,7.45000001043081E-1,7.30000026524067E-2),(3.24999988079071E-2,7.54999995231628E-1,8.29999968409538E-2),(1.75000000745058E-2,7.45000004768372E-1,7.29999989271164E-2),(3.24999988079071E-2,7.45000004768372E-1,7.29999989271164E-2))); +#201=IFCINDEXEDPOLYGONALFACE((1,2,3,4)); +#202=IFCINDEXEDPOLYGONALFACE((5,6,7,8)); +#203=IFCINDEXEDPOLYGONALFACE((4,3,9,5)); +#204=IFCINDEXEDPOLYGONALFACE((3,2,10,9)); +#205=IFCINDEXEDPOLYGONALFACE((2,1,8,10)); +#206=IFCINDEXEDPOLYGONALFACE((1,4,5,8)); +#207=IFCSTYLEDITEM(#199,(#79),'fQI0KF'); +#208=IFCPOLYGONALFACESET(#209,$,(#210,#211,#212,#213,#214,#215),$); +#209=IFCCARTESIANPOINTLIST3D(((3.24999988079071E-2,7.54999995231628E-1,8.29999968409538E-2),(3.24999988079071E-2,7.45000001043081E-1,7.30000026524067E-2),(1.75000000745058E-2,7.45000001043081E-1,7.30000026524067E-2),(1.75000000745058E-2,7.54999995231628E-1,8.29999968409538E-2),(1.75000000745058E-2,6.25E-1,8.29999968409538E-2),(1.75000000745058E-2,6.25E-1,7.29999989271164E-2),(3.24999988079071E-2,6.25E-1,7.29999989271164E-2),(3.24999988079071E-2,6.25E-1,8.29999968409538E-2),(1.75000000745058E-2,7.45000004768372E-1,7.29999989271164E-2),(3.24999988079071E-2,7.45000004768372E-1,7.29999989271164E-2))); +#210=IFCINDEXEDPOLYGONALFACE((1,2,3,4)); +#211=IFCINDEXEDPOLYGONALFACE((5,6,7,8)); +#212=IFCINDEXEDPOLYGONALFACE((4,9,6,5)); +#213=IFCINDEXEDPOLYGONALFACE((9,10,7,6)); +#214=IFCINDEXEDPOLYGONALFACE((10,1,8,7)); +#215=IFCINDEXEDPOLYGONALFACE((1,4,5,8)); +#216=IFCSTYLEDITEM(#208,(#79),'TGaedUr'); +#217=IFCPOLYGONALFACESET(#218,$,(#219,#220,#221,#222,#223,#224,#225,#226,#227,#228,#229,#230,#231,#232,#233,#234,#235,#236,#237,#238,#239,#240,#241,#242),$); +#218=IFCCARTESIANPOINTLIST3D(((6.49999976158142E-2,1.38499999046326,-9.99999977648258E-3),(6.99999989010394E-2,1.37999999523163,-4.99999849125743E-3),(6.99999989010393E-2,1.19999997317791E-1,-4.99999849125738E-3),(6.49999976158142E-2,1.15000002086163E-1,-9.99999977648253E-3),(7.00000002980232E-2,1.37999999523163,-4.99999988824129E-3),(7.00000002980232E-2,1.37999999523163,4.99999988824129E-3),(7.00000002980231E-2,1.19999997317791E-1,4.99999988824129E-3),(7.00000002980231E-2,1.19999997317791E-1,-4.99999988824129E-3),(6.49999990127981E-2,1.38499999046326,1.00000011734664E-2),(6.49999990127981E-2,1.15000002086163E-1,1.00000011734664E-2),(7.00000002980232E-2,1.19999997317791E-1,4.99999988824129E-3),(6.49999976158142E-2,1.38499999046326,9.99999977648258E-3),(5.00000007450581E-2,1.39999997615814,9.99999977648258E-3),(5.00000007450581E-2,1.00000001490116E-1,9.99999977648258E-3),(6.49999976158142E-2,1.15000002086163E-1,9.99999977648258E-3),(5.00000007450581E-2,1.39999997615814,-9.99999977648258E-3),(5.00000007450579E-2,1.00000001490116E-1,-9.99999977648258E-3),(5.00000007450579E-2,1.00000001490116E-1,9.99999977648258E-3),(6.49999976158142E-2,1.15000002086163E-1,-9.99999977648258E-3),(5.00000007450581E-2,1.00000001490116E-1,-9.99999977648258E-3),(7.00000002980232E-2,1.19999999646097E-1,-5.00000221654773E-3),(5.79999983310699E-1,1.19999999646097E-1,-5.00000221654773E-3),(5.84999978542328E-1,1.15000002086163E-1,-9.99999977648258E-3),(7.00000002980232E-2,1.19999997317791E-1,-4.99999988824129E-3),(5.79999983310699E-1,1.19999997317791E-1,4.99999988824129E-3),(5.79999983310699E-1,1.19999997317791E-1,-4.99999988824129E-3),(6.49999976158142E-2,1.14999999757856E-1,9.99999744817615E-3),(5.84999978542328E-1,1.14999999757856E-1,9.99999744817613E-3),(5.79999983310699E-1,1.19999997317791E-1,4.99999988824127E-3),(6.00000023841858E-1,1.00000001490116E-1,9.99999977648258E-3),(5.84999978542328E-1,1.15000002086163E-1,9.99999977648258E-3),(6.00000023841858E-1,1.00000001490116E-1,-9.99999977648258E-3),(5.79999980982393E-1,1.19999997317791E-1,-5.00000221654773E-3),(5.79999980982393E-1,1.37999999523163,-5.00000221654773E-3),(5.84999978542328E-1,1.38499999046326,-9.99999977648258E-3),(5.79999983310699E-1,1.37999999523163,4.99999988824129E-3),(5.79999983310699E-1,1.37999999523163,-4.99999988824129E-3),(5.84999980870634E-1,1.15000002086163E-1,9.99999744817615E-3),(5.84999980870634E-1,1.38499999046326,9.99999744817603E-3),(5.799999833107E-1,1.37999999523163,4.99999988824117E-3),(6.00000023841858E-1,1.39999997615814,9.99999977648258E-3),(5.84999978542328E-1,1.38499999046326,9.99999977648258E-3),(6.00000023841858E-1,1.39999997615814,-9.99999977648258E-3),(5.79999983310699E-1,1.37999999290332,-5.00000221654769E-3),(7.00000002980232E-2,1.37999999290332,-5.00000221654769E-3),(5.84999978542328E-1,1.38499999279156,9.99999744817619E-3),(6.49999976158142E-2,1.38499999279156,9.99999744817619E-3))); +#219=IFCINDEXEDPOLYGONALFACE((1,2,3,4)); +#220=IFCINDEXEDPOLYGONALFACE((5,6,7,8)); +#221=IFCINDEXEDPOLYGONALFACE((6,9,10,11)); +#222=IFCINDEXEDPOLYGONALFACE((12,13,14,15)); +#223=IFCINDEXEDPOLYGONALFACE((13,16,17,18)); +#224=IFCINDEXEDPOLYGONALFACE((16,1,19,20)); +#225=IFCINDEXEDPOLYGONALFACE((19,21,22,23)); +#226=IFCINDEXEDPOLYGONALFACE((24,11,25,26)); +#227=IFCINDEXEDPOLYGONALFACE((11,27,28,29)); +#228=IFCINDEXEDPOLYGONALFACE((15,14,30,31)); +#229=IFCINDEXEDPOLYGONALFACE((14,20,32,30)); +#230=IFCINDEXEDPOLYGONALFACE((20,19,23,32)); +#231=IFCINDEXEDPOLYGONALFACE((23,33,34,35)); +#232=IFCINDEXEDPOLYGONALFACE((26,25,36,37)); +#233=IFCINDEXEDPOLYGONALFACE((25,38,39,40)); +#234=IFCINDEXEDPOLYGONALFACE((31,30,41,42)); +#235=IFCINDEXEDPOLYGONALFACE((30,32,43,41)); +#236=IFCINDEXEDPOLYGONALFACE((32,23,35,43)); +#237=IFCINDEXEDPOLYGONALFACE((35,44,45,1)); +#238=IFCINDEXEDPOLYGONALFACE((37,36,6,5)); +#239=IFCINDEXEDPOLYGONALFACE((36,46,47,6)); +#240=IFCINDEXEDPOLYGONALFACE((42,41,13,12)); +#241=IFCINDEXEDPOLYGONALFACE((41,43,16,13)); +#242=IFCINDEXEDPOLYGONALFACE((43,35,1,16)); +#243=IFCSTYLEDITEM(#217,(#69),'uAtkVH'); +#244=IFCPOLYGONALFACESET(#245,$,(#246,#247,#248,#249,#250,#251),$); +#245=IFCCARTESIANPOINTLIST3D(((5.79999983310699E-1,1.37999999523163,-4.99999988824129E-3),(5.79999983310699E-1,1.19999997317791E-1,-4.99999988824129E-3),(7.00000002980232E-2,1.19999997317791E-1,-4.99999988824129E-3),(7.00000002980232E-2,1.37999999523163,-4.99999988824129E-3),(7.00000002980232E-2,1.37999999523163,4.99999988824129E-3),(7.00000002980232E-2,1.19999997317791E-1,4.99999988824129E-3),(5.79999983310699E-1,1.19999997317791E-1,4.99999988824129E-3),(5.79999983310699E-1,1.37999999523163,4.99999988824129E-3),(7.00000002980231E-2,1.19999997317791E-1,-4.99999988824129E-3),(7.00000002980231E-2,1.19999997317791E-1,4.99999988824129E-3))); +#246=IFCINDEXEDPOLYGONALFACE((1,2,3,4)); +#247=IFCINDEXEDPOLYGONALFACE((5,6,7,8)); +#248=IFCINDEXEDPOLYGONALFACE((4,9,10,5)); +#249=IFCINDEXEDPOLYGONALFACE((3,2,7,6)); +#250=IFCINDEXEDPOLYGONALFACE((2,1,8,7)); +#251=IFCINDEXEDPOLYGONALFACE((1,4,5,8)); +#252=IFCSTYLEDITEM(#244,(#59),'9yGsbva0bG'); +#253=IFCSITE('2wovVXpgj1cRsZ_0dvJtaZ',#1,'XQpDvAqILs','hqXsVJ7zhR',$,#254,$,$,.ELEMENT.,(0,0,0,0),(0,0,0,0),0.,$,$); +#254=IFCLOCALPLACEMENT($,#257); +#255=IFCDIRECTION((0.,0.,1.)); +#256=IFCDIRECTION((1.,0.,0.)); +#257=IFCAXIS2PLACEMENT3D(#21,#255,#256); +#258=IFCBUILDING('1q4MsgfUf4Metm2lRt$BR5',#1,'lHnHpG','HSiRpWoxS',$,#259,$,$,.ELEMENT.,$,$,#674); +#259=IFCLOCALPLACEMENT(#254,#262); +#260=IFCDIRECTION((0.,0.,1.)); +#261=IFCDIRECTION((1.,0.,0.)); +#262=IFCAXIS2PLACEMENT3D(#21,#260,#261); +#263=IFCBUILDINGSTOREY('0bBdAVTgr2rAo1IEd_kcfo',#1,'3lc8ZLycF','NcCLTN',$,#267,$,$,.ELEMENT.,-1.5); +#264=IFCPROPERTYSET('13u1ZCs_9ApwnJgokMM1lS',#1,'eAqsHPZ0kN','YKIl6QU9',(#265)); +#265=IFCPROPERTYSINGLEVALUE('ZSAv5T8lX','x2RBedZj5',IFCINTEGER(1),$); +#266=IFCRELDEFINESBYPROPERTIES('1DOxI62Tn0P9K_sq8IAG2X',#1,'2YHnyRth30','20sc71Ok',(#263),#264); +#267=IFCLOCALPLACEMENT(#259,#271); +#268=IFCCARTESIANPOINT((0.,0.,-1.5)); +#269=IFCDIRECTION((0.,0.,1.)); +#270=IFCDIRECTION((1.,0.,0.)); +#271=IFCAXIS2PLACEMENT3D(#268,#269,#270); +#272=IFCBUILDINGSTOREY('1CtY$SxH91C8cn1Rro48HX',#1,'YroQA2K3e','xG8GnsQu',$,#276,$,$,.ELEMENT.,-5.E-1); +#273=IFCPROPERTYSET('3LaK_YqR12dB1WW6FMhiXX',#1,'omLzQMS','oBkaBl',(#274)); +#274=IFCPROPERTYSINGLEVALUE('R5T9SnyBc','vVhN87C',IFCINTEGER(2),$); +#275=IFCRELDEFINESBYPROPERTIES('1QzpvcXNvC0ehLqcJdstY9',#1,'oDJ0Pp0','cSEnhfVu4',(#272),#273); +#276=IFCLOCALPLACEMENT(#259,#280); +#277=IFCCARTESIANPOINT((0.,0.,-5.E-1)); +#278=IFCDIRECTION((0.,0.,1.)); +#279=IFCDIRECTION((1.,0.,0.)); +#280=IFCAXIS2PLACEMENT3D(#277,#278,#279); +#281=IFCBUILDINGSTOREY('0xuqbikJfFxhmSCs$dJm45',#1,'ddMPWhJr','kQw4bsL',$,#285,$,$,.ELEMENT.,0.); +#282=IFCPROPERTYSET('0mR1HWsGnAnBJeO_JICIl7',#1,'brnlV5BS','o4omD28K',(#283)); +#283=IFCPROPERTYSINGLEVALUE('e1pZw55','qwobhX',IFCINTEGER(0),$); +#284=IFCRELDEFINESBYPROPERTIES('0RPjTqCbrDQhMtfiM64u$a',#1,'oLPo5DhLB','3PZSfCrsJ',(#281),#282); +#285=IFCLOCALPLACEMENT(#259,#288); +#286=IFCDIRECTION((0.,0.,1.)); +#287=IFCDIRECTION((1.,0.,0.)); +#288=IFCAXIS2PLACEMENT3D(#21,#286,#287); +#289=IFCWALLSTANDARDCASE('338D45yJP6EQHiss4ZzJDE',#1,'YNaq9cA9','LPoqMe',$,#367,#372,$,$); +#290=IFCPROPERTYSET('1O3oTN2dTEpOxzcyg7qkAp',#1,'q3Rcv1','KoCLRNhJsV',(#291,#292,#293,#294)); +#291=IFCPROPERTYSINGLEVALUE('qrAiK4V','Lyu7OeH1',IFCINTEGER(2339),$); +#292=IFCPROPERTYSINGLEVALUE('9Zi21BU','Q9jJ76atTN',IFCINTEGER(366),$); +#293=IFCPROPERTYSINGLEVALUE('o5Aabg9y9','i0stCh1x94',IFCINTEGER(9000),$); +#294=IFCPROPERTYSINGLEVALUE('DTFhpfa','l3RiERp',IFCINTEGER(1),$); +#295=IFCRELDEFINESBYPROPERTIES('2qXOdAYwXBafVZ43H7pvuY',#1,'2dYgYMK0','9s2wdmZavj',(#289),#290); +#296=IFCPROPERTYSET('1Fp2Ts$svDPA9Vu_HhKsP5',#1,'TYe8YWLw3c','mFKhlVbjdT',(#297,#298)); +#297=IFCPROPERTYSINGLEVALUE('fpv08kh','Ru3xIi',IFCREAL(2.),$); +#298=IFCPROPERTYSINGLEVALUE('OqSJ0AH','SinNUm',IFCREAL(2.),$); +#299=IFCRELDEFINESBYPROPERTIES('2hPJmamcv3vRHWBH9SpeyJ',#1,'VhaRqVV9','4v0bruET5u',(#289),#296); +#300=IFCPROPERTYSET('1JH96WdIX2meeX6Swb0P_X',#1,'uhZ2Wt0','N1VNtL',(#301)); +#301=IFCPROPERTYSINGLEVALUE('oDoJst','mJZg6sfpzp',IFCINTEGER(2),$); +#302=IFCRELDEFINESBYPROPERTIES('32f46yj1HDFQohWUkfbIem',#1,'p3RcPx','pKfsbgpcP',(#289),#300); +#303=IFCPROPERTYSET('3hDxVzHoT729Iapa6pgrcq',#1,'KhVxPco2L','LGYRXXjg',(#304)); +#304=IFCPROPERTYSINGLEVALUE('Zs7hha47fN','07BdnBRd3',IFCINTEGER(0),$); +#305=IFCRELDEFINESBYPROPERTIES('2$q7Jg1xT4f9KmhcdtOW1o',#1,'iEwdFn39gf','jHpaj6Zx',(#289),#303); +#306=IFCPROPERTYSET('1Qnr5Q7kb5C93WKZv2pCju',#1,'doQEbf','AHUv6ijgq2',(#307,#308,#309,#310,#311,#312,#313)); +#307=IFCPROPERTYSINGLEVALUE('RuVCDnOY','wsxW2AJ3',IFCINTEGER(2339),$); +#308=IFCPROPERTYSINGLEVALUE('0tP6Cq','Zfb1iga',IFCLABEL('01'),$); +#309=IFCPROPERTYSINGLEVALUE('ii85H7','an8IFlU',IFCLABEL('02'),$); +#310=IFCPROPERTYSINGLEVALUE('omaySs20e','Oa4dJsTPls',IFCLABEL('Piano Terra'),$); +#311=IFCPROPERTYSINGLEVALUE('ASDMV8FUD2','zIXva6y',IFCLABEL('Parete in cemento armato [300.00]'),$); +#312=IFCPROPERTYSINGLEVALUE('i3sWcM','cOgQInzoXs',IFCLENGTHMEASURE(3.E-1),$); +#313=IFCPROPERTYSINGLEVALUE('V836ZzvF7M','DuIwhZ6X3P',IFCBOOLEAN(.F.),$); +#314=IFCRELDEFINESBYPROPERTIES('2OUebs9nn3bRx6TYh2Re8a',#1,'aUJqOEkaZr','72BuhDepU',(#289),#306); +#315=IFCPROPERTYSET('1_KUFQvQT9TwKTkLXcXt0g',#1,'Dxn9Zi','hz2TlZosh',(#316,#317)); +#316=IFCPROPERTYSINGLEVALUE('EahCtm','7p3N9WW',IFCLABEL(' '),$); +#317=IFCPROPERTYSINGLEVALUE('6RcCeRC','aS9ay8KWBC',IFCLABEL(' '),$); +#318=IFCRELDEFINESBYPROPERTIES('1vXYk06ef32AoOphVq8UYy',#1,'vfr6KPlPI','5XKOHH5uR',(#289),#315); +#319=IFCPROPERTYSET('3nw78_1Vz0_uwGugr5jI$i',#1,'8aBNtKg','rN2Jknt2Y',(#320,#321)); +#320=IFCPROPERTYSINGLEVALUE('LvGGgUeJq','usZbXos',IFCBOOLEAN(.F.),$); +#321=IFCPROPERTYSINGLEVALUE('SvFGGeksxH','bb5HBHE',IFCLENGTHMEASURE(0.),$); +#322=IFCRELDEFINESBYPROPERTIES('3QHBz_9a1AWwvQ8ZuzdBDr',#1,'pUEwi22jj','BIZyPnhQyk',(#289),#319); +#323=IFCPROPERTYSET('2MY3QM$f50$f82wgZ79HkI',#1,'tu8JG4fD','PP4Hsglg',(#324,#325,#326,#327)); +#324=IFCPROPERTYSINGLEVALUE('ZVKDW8OZ3','G9tS1J',IFCLABEL('d'),$); +#325=IFCPROPERTYSINGLEVALUE('0rs76XWcKc','tR0Fp5p',IFCLENGTHMEASURE(10.7225355285026),$); +#326=IFCPROPERTYSINGLEVALUE('kBLhuwY','d3dl7Rc',IFCLENGTHMEASURE(3.2),$); +#327=IFCPROPERTYSINGLEVALUE('zDKenVClz','mts9Md97E',IFCLENGTHMEASURE(0.),$); +#328=IFCRELDEFINESBYPROPERTIES('3DtGHjgDPFp9rAVvRvWuKr',#1,'7JR7M9N3x','8LO1ZmZS',(#289),#323); +#329=IFCPROPERTYSET('0ZiL4Cotb6rBVVb8QCqzt4',#1,'tsotsL8e','kFULA2',(#326,#327,#330)); +#330=IFCPROPERTYSINGLEVALUE('mebSkFVGrI','z5GW7PdIer',IFCPLANEANGLEMEASURE(2.72620932831378),$); +#331=IFCRELDEFINESBYPROPERTIES('3OSCuynO9AFPydt3M2$r_z',#1,'8DC7Sz57','JZZzua',(#289),#329); +#332=IFCPROPERTYSET('1vWU$en45Fmf_8gKX4LiaW',#1,'20oN2bAg','IsIV8rwN',(#326,#327,#333)); +#333=IFCPROPERTYSINGLEVALUE('lmUFhgsNjV','E0Bj1x',IFCPLANEANGLEMEASURE(182.726209328314),$); +#334=IFCRELDEFINESBYPROPERTIES('1rrLckkcj9f92581aSc$aC',#1,'7cSRmHlccS','7B36Mj',(#289),#332); +#335=IFCPROPERTYSET('3mc4WQNpj6NRNiRpgbDDD7',#1,'22H0yAcIc','unqzNjMsc',(#336,#337,#338,#339,#340)); +#336=IFCPROPERTYSINGLEVALUE('jEvlXN','548idR',IFCLABEL('f'),$); +#337=IFCPROPERTYSINGLEVALUE('ihZoDxz','ThjLvohKH',IFCLENGTHMEASURE(0.),$); +#338=IFCPROPERTYSINGLEVALUE('zlCmR8X','GOqos68',IFCLENGTHMEASURE(0.),$); +#339=IFCPROPERTYSINGLEVALUE('8gKeUMyHz','n5mELohQ',IFCLENGTHMEASURE(0.),$); +#340=IFCPROPERTYSINGLEVALUE('Ja5ifE','zKb8m6onfm',IFCLABEL(''),$); +#341=IFCRELDEFINESBYPROPERTIES('1rP7KLfWT58uljAXXkFE4J',#1,'XzFFNyN','UJkmL19y',(#289),#335); +#342=IFCPROPERTYSET('27xUn1HTD7HBVe5UlYeVwQ',#1,'0Nr2UowCYP','rh1MFoB',(#343,#337,#338,#339,#340)); +#343=IFCPROPERTYSINGLEVALUE('s4dV3zHd','qSZNGTwr',IFCLABEL('Piano Terra (Piano Terra 1047)'),$); +#344=IFCRELDEFINESBYPROPERTIES('2b0jgBXinF4AS86I6eKLEF',#1,'V15UhZbA','7Vr2ib9L',(#289),#342); +#345=IFCPROPERTYSET('3miMirrMX6zRVKUifRcH48',#1,'UcqfUv','XhhbMBxxi4',(#346,#347,#348,#349,#350,#351,#352,#353,#354)); +#346=IFCPROPERTYSINGLEVALUE('BpmNiIwX','ZHqhR4',IFCLENGTHMEASURE(10.72),$); +#347=IFCPROPERTYSINGLEVALUE('3gEsuE5','U1gc72',IFCLENGTHMEASURE(10.72),$); +#348=IFCPROPERTYSINGLEVALUE('8U34sJ8X4N','Okk3exGmRC',IFCLENGTHMEASURE(3.2),$); +#349=IFCPROPERTYSINGLEVALUE('wrWrSzHGCA','Hmwpbwfo',IFCLENGTHMEASURE(3.2),$); +#350=IFCPROPERTYSINGLEVALUE('gS6URL','pGWljTdO',IFCAREAMEASURE(3.22),$); +#351=IFCPROPERTYSINGLEVALUE('24FkGQ','pWMAu8',IFCAREAMEASURE(3.22),$); +#352=IFCPROPERTYSINGLEVALUE('3lxswVkA4A','lTWx94',IFCAREAMEASURE(3.22),$); +#353=IFCPROPERTYSINGLEVALUE('sEymMZL2N','7ky2sjC1',IFCAREAMEASURE(34.3),$); +#354=IFCPROPERTYSINGLEVALUE('aIrsLw','DWG2NKmpt',IFCVOLUMEMEASURE(10.29),$); +#355=IFCRELDEFINESBYPROPERTIES('2PvvwOoRHELOWFohWLNcA_',#1,'pDfBusHVm','VT7F9Qf',(#289),#345); +#356=IFCPROPERTYSET('3joAd6T5bDVvrvp8Mc47hp',#1,'lsGwk4RtW','gnjJrBODT',(#357,#358,#359)); +#357=IFCPROPERTYSINGLEVALUE('Ocrpe47B','oh8AsbASn',IFCLABEL('asd'),$); +#358=IFCPROPERTYSINGLEVALUE('E3vfKN','ejzDtqMeM',IFCLABEL('asd'),$); +#359=IFCPROPERTYSINGLEVALUE('2FuVTU6x','RlxYsZN3r',IFCLABEL('ad'),$); +#360=IFCRELDEFINESBYPROPERTIES('1qn08GStb5KB3J7ZVMVEOC',#1,'OxAv7A0','MctkkPvWX',(#289),#356); +#361=IFCMATERIALLAYERSETUSAGE(#362,.AXIS2.,.POSITIVE.,-1.5E-1,$); +#362=IFCMATERIALLAYERSET((#363,#364,#365),'lkjdks','0c2ZvLJ'); +#363=IFCMATERIALLAYER(#24,1.5E-2,$,'x0nqmHorJr','JAxcbIed1F',$,$); +#364=IFCMATERIALLAYER(#34,2.7E-1,$,'qiEVUf','UJx1K11F',$,$); +#365=IFCMATERIALLAYER(#24,1.5E-2,$,'yv2ZKfW','ExAs2o5YW',$,$); +#366=IFCRELASSOCIATESMATERIAL('3iN9XBntr8Qfq_n6B76$A1',#1,'vqyNuDUGr','l5KtkYl',(#289),#361); +#367=IFCLOCALPLACEMENT(#285,#371); +#368=IFCCARTESIANPOINT((-9.3625,4.4991,0.)); +#369=IFCDIRECTION((0.,0.,1.)); +#370=IFCDIRECTION((9.98868222122434E-1,4.75633770244243E-2,0.)); +#371=IFCAXIS2PLACEMENT3D(#368,#369,#370); +#372=IFCPRODUCTDEFINITIONSHAPE('5ByCDLi','LIgVK5',(#384,#391,#399,#407,#412)); +#373=IFCEXTRUDEDAREASOLID(#375,#382,#383,3.2); +#374=IFCSTYLEDITEM(#373,(#49),'bUZt7Q0'); +#375=IFCRECTANGLEPROFILEDEF(.AREA.,$,#378,3.E-1,10.7225355285026); +#376=IFCCARTESIANPOINT((0.,0.)); +#377=IFCDIRECTION((1.,0.)); +#378=IFCAXIS2PLACEMENT2D(#376,#377); +#379=IFCCARTESIANPOINT((5.36126776425129,2.39808173319034E-14,0.)); +#380=IFCDIRECTION((0.,0.,1.)); +#381=IFCDIRECTION((0.,-1.,0.)); +#382=IFCAXIS2PLACEMENT3D(#379,#380,#381); +#383=IFCDIRECTION((0.,0.,1.)); +#384=IFCSHAPEREPRESENTATION(#23,'Body','SweptSolid',(#373)); +#385=IFCGEOMETRICREPRESENTATIONCONTEXT($,'Plan',3,1.E-4,#386,#387); +#386=IFCAXIS2PLACEMENT3D(#21,$,$); +#387=IFCDIRECTION((0.,1.)); +#388=IFCGEOMETRICREPRESENTATIONSUBCONTEXT('Box','Plan',*,*,*,*,#385,$,.PLAN_VIEW.,$); +#389=IFCBOUNDINGBOX(#390,10.7225355285026,3.00000000000048E-1,3.20000004768372); +#390=IFCCARTESIANPOINT((0.,-1.49999999999999E-1,0.)); +#391=IFCSHAPEREPRESENTATION(#388,'Box','BoundingBox',(#389)); +#392=IFCGEOMETRICREPRESENTATIONSUBCONTEXT('Profile','Model',*,*,*,*,#19,$,.MODEL_VIEW.,$); +#393=IFCGEOMETRICCURVESET((#394)); +#394=IFCPOLYLINE((#395,#396,#397,#398,#395)); +#395=IFCCARTESIANPOINT((0.,0.)); +#396=IFCCARTESIANPOINT((10.7225355285026,0.)); +#397=IFCCARTESIANPOINT((10.7225355285026,3.2)); +#398=IFCCARTESIANPOINT((0.,3.2)); +#399=IFCSHAPEREPRESENTATION(#392,'Profile','GeometricCurveSet',(#393)); +#400=IFCGEOMETRICREPRESENTATIONSUBCONTEXT('FootPrint','Model',*,*,*,*,#19,$,.MODEL_VIEW.,$); +#401=IFCGEOMETRICCURVESET((#402)); +#402=IFCPOLYLINE((#403,#404,#405,#406,#403)); +#403=IFCCARTESIANPOINT((-1.77635683940025E-15,-1.49999999999999E-1)); +#404=IFCCARTESIANPOINT((10.7225355285026,-1.49999999999953E-1)); +#405=IFCCARTESIANPOINT((10.7225355285026,1.50000000000047E-1)); +#406=IFCCARTESIANPOINT((-1.77635683940025E-15,1.5E-1)); +#407=IFCSHAPEREPRESENTATION(#400,'FootPrint','GeometricCurveSet',(#401)); +#408=IFCGEOMETRICREPRESENTATIONSUBCONTEXT('Axis','Model',*,*,*,*,#19,$,.MODEL_VIEW.,$); +#409=IFCPOLYLINE((#410,#411)); +#410=IFCCARTESIANPOINT((0.,0.)); +#411=IFCCARTESIANPOINT((10.7225355285026,4.70734562441066E-14)); +#412=IFCSHAPEREPRESENTATION(#408,'Axis','Curve2D',(#409)); +#413=IFCOPENINGELEMENT('3ZgTSy8sz2uOdIyEjwC_KP',#1,'gGAJ3l1SU','q0i84RUmhE','Opening',#422,#427,$,$); +#414=IFCPROPERTYSET('17jgTZrN5CYArWye8FkxX5',#1,'q2DWE8R','nxsjthUjLD',(#415,#416,#417,#418)); +#415=IFCPROPERTYSINGLEVALUE('TresXAu5qQ','q6oUMQ',IFCINTEGER(4294967294),$); +#416=IFCPROPERTYSINGLEVALUE('1KevFOzoR','IQcSrBxg',IFCINTEGER(2002),$); +#417=IFCPROPERTYSINGLEVALUE('attreZ40','xg13dD5',IFCINTEGER(2002),$); +#418=IFCPROPERTYSINGLEVALUE('yXhuc1oili','Wq9bpb85',IFCINTEGER(0),$); +#419=IFCRELDEFINESBYPROPERTIES('0V5Wu2s8b1whWI8yvoWy_K',#1,'nbzTAFPF','X4fLaJ8sNr',(#413),#414); +#420=IFCPROPERTYSET('2QoslLBzrBoxnoK3wE4Eew',#1,'cKKwbeNNv','HVSusyhp',(#297,#298)); +#421=IFCRELDEFINESBYPROPERTIES('25wYgxVn9Bhgf9vdcEsPkB',#1,'wZm50XYdtN','c112Cq6Dr',(#413),#420); +#422=IFCLOCALPLACEMENT(#367,#426); +#423=IFCCARTESIANPOINT((4.11371922759627,1.86517468137026E-14,0.)); +#424=IFCDIRECTION((0.,0.,1.)); +#425=IFCDIRECTION((-1.,-4.35762537165374E-15,0.)); +#426=IFCAXIS2PLACEMENT3D(#423,#424,#425); +#427=IFCPRODUCTDEFINITIONSHAPE('Yw3EMxN','Ct7fhij',(#440,#447,#454)); +#428=IFCEXTRUDEDAREASOLID(#429,#438,#439,5.E-1); +#429=IFCARBITRARYCLOSEDPROFILEDEF(.AREA.,$,#430); +#430=IFCPOLYLINE((#431,#432,#433,#434,#431)); +#431=IFCCARTESIANPOINT((0.,1.5)); +#432=IFCCARTESIANPOINT((0.,0.)); +#433=IFCCARTESIANPOINT((1.4,0.)); +#434=IFCCARTESIANPOINT((1.4,1.5)); +#435=IFCCARTESIANPOINT((0.,-2.5E-1,0.)); +#436=IFCDIRECTION((0.,1.,-0.)); +#437=IFCDIRECTION((-1.,0.,0.)); +#438=IFCAXIS2PLACEMENT3D(#435,#436,#437); +#439=IFCDIRECTION((0.,0.,1.)); +#440=IFCSHAPEREPRESENTATION(#23,'Body','SweptSolid',(#428)); +#441=IFCGEOMETRICCURVESET((#442)); +#442=IFCPOLYLINE((#443,#444,#445,#446,#443)); +#443=IFCCARTESIANPOINT((8.88178419700125E-16,8.88178419700125E-16,1.5)); +#444=IFCCARTESIANPOINT((8.88178419700125E-16,8.88178419700125E-16,0.)); +#445=IFCCARTESIANPOINT((-1.4,8.88178419700125E-16,0.)); +#446=IFCCARTESIANPOINT((-1.4,8.88178419700125E-16,1.5)); +#447=IFCSHAPEREPRESENTATION(#392,'Profile','GeometricCurveSet',(#441)); +#448=IFCGEOMETRICCURVESET((#449)); +#449=IFCPOLYLINE((#450,#451,#452,#453,#450)); +#450=IFCCARTESIANPOINT((-1.4,-1.49999999999999E-1)); +#451=IFCCARTESIANPOINT((0.,-1.49999999999999E-1)); +#452=IFCCARTESIANPOINT((1.77635683940025E-15,1.5E-1)); +#453=IFCCARTESIANPOINT((-1.4,1.50000000000001E-1)); +#454=IFCSHAPEREPRESENTATION(#400,'FootPrint','GeometricCurveSet',(#448)); +#455=IFCWINDOW('0qQpKzfkjEPBhVR0ivyCfU',#1,'wHFJvF','PDARSt',$,#631,#636,$,1.5,1.4,$,$,$); +#456=IFCPROPERTYSET('0FEeYWLnn1tu5xWtGwXXOx',#1,'yZhwgHzNte','ItBuA6',(#457,#458,#459,#294)); +#457=IFCPROPERTYSINGLEVALUE('Kvl2i3','YQEZjcVtrg',IFCINTEGER(2350),$); +#458=IFCPROPERTYSINGLEVALUE('v57oN4oHf','uMSI0U',IFCINTEGER(101),$); +#459=IFCPROPERTYSINGLEVALUE('LK7imGUtmV','TDNccW',IFCINTEGER(9004),$); +#460=IFCRELDEFINESBYPROPERTIES('3EHvmiULrDYwTWknLcAldv',#1,'emiXIM','nOpCvHO4',(#455),#456); +#461=IFCPROPERTYSET('2pHz5OFB5FSvSpXf$CI$ft',#1,'FKBAex','W8FGEi2d',(#297,#298)); +#462=IFCRELDEFINESBYPROPERTIES('3aRmkWJtrFi9aOxBSbHieK',#1,'P81ytlS','CiTeQU',(#455),#461); +#463=IFCPROPERTYSET('2TrrUKSmX2NOgu5DBGLbQs',#1,'bg2AKHiEx','gAeM13Io',(#464)); +#464=IFCPROPERTYSINGLEVALUE('9MJawg93','cQ23Fslv',IFCLABEL('338D45yJP6EQHiss4ZzJDE'),$); +#465=IFCRELDEFINESBYPROPERTIES('3zSi6gb8139gHEucS0qVsH',#1,'PglRyHm','QDCDke',(#455),#463); +#466=IFCPROPERTYSET('22spgmYcD8EQ_rDlYOBu4z',#1,'mfrwKiQoBn','Jlud9VTWaH',(#467,#308,#309,#310,#468,#469,#470)); +#467=IFCPROPERTYSINGLEVALUE('mw0leRARu','kK4QNhMc',IFCINTEGER(2350),$); +#468=IFCPROPERTYSINGLEVALUE('2lb53cZk','T0sRfHFx3',IFCLABEL('FN[R] 2AB[1V] MM'),$); +#469=IFCPROPERTYSINGLEVALUE('29GMlc936','LDpYm2u',IFCLABEL(' '),$); +#470=IFCPROPERTYSINGLEVALUE('wMm0gQ2Ocx','fJilNrr',IFCLABEL(' '),$); +#471=IFCRELDEFINESBYPROPERTIES('2qOoFZUdjBTfHbxHSm4omM',#1,'Gi6Dj5h8','oKn7kKpUqg',(#455),#466); +#472=IFCPROPERTYSET('0BeWw41Wn0WO_WhTdDwH$b',#1,'K3rY1iZTeL','tPF9kXt5fN',(#316,#317)); +#473=IFCRELDEFINESBYPROPERTIES('0fJyfDKn95FRwRHSwXLAXY',#1,'FLogPym9z','MpjZKeY',(#455),#472); +#474=IFCPROPERTYSET('0MtRxstlr1QeKz_F_XljqU',#1,'hwqFJ9K1h','1oPd7k2w',(#475,#476,#477)); +#475=IFCPROPERTYSINGLEVALUE('19R05KKrmi','KL8WHWVE',IFCLENGTHMEASURE(0.),$); +#476=IFCPROPERTYSINGLEVALUE('h6I6yfnM','gfFtN7F8',IFCLABEL('...'),$); +#477=IFCPROPERTYSINGLEVALUE('8IcD4mxDH4','VGmaqhBh',IFCLENGTHMEASURE(0.),$); +#478=IFCRELDEFINESBYPROPERTIES('2DA3PP3MD2Gemq1jMdBpbe',#1,'Wa0f2Hplo','MuBzKlfrj',(#455),#474); +#479=IFCPROPERTYSET('3f$Vk1m$v1TuwnYT1K52im',#1,'P4qGgV','CSTg3AMY',(#480,#481,#482,#483,#484,#485,#486,#487,#488,#489,#490,#491,#492)); +#480=IFCPROPERTYSINGLEVALUE('hx2IQRr8','LbYRdAbX',IFCBOOLEAN(.F.),$); +#481=IFCPROPERTYSINGLEVALUE('XxGMq4AfC','3uXnviZ',IFCBOOLEAN(.F.),$); +#482=IFCPROPERTYSINGLEVALUE('zMdGguFE','8Y2GWlw',IFCBOOLEAN(.F.),$); +#483=IFCPROPERTYSINGLEVALUE('IA95PT','yoDEUeqYM',IFCLENGTHMEASURE(0.),$); +#484=IFCPROPERTYSINGLEVALUE('tGoGDqxHWa','u0HvZrwoY',IFCLENGTHMEASURE(0.),$); +#485=IFCPROPERTYSINGLEVALUE('vm5QOwEYZ','q60iGw',IFCLENGTHMEASURE(0.),$); +#486=IFCPROPERTYSINGLEVALUE('DqYVFXDpj2','sSD5afjDQu',IFCLENGTHMEASURE(0.),$); +#487=IFCPROPERTYSINGLEVALUE('xZIAO3rB','IhVpjNC',IFCLENGTHMEASURE(0.),$); +#488=IFCPROPERTYSINGLEVALUE('EmEfBaj','JUac7MPjB2',IFCLENGTHMEASURE(0.),$); +#489=IFCPROPERTYSINGLEVALUE('IZnaZY6','vfMLHls',IFCLENGTHMEASURE(0.),$); +#490=IFCPROPERTYSINGLEVALUE('LaBHe8Hc','dqfn8f',IFCLENGTHMEASURE(0.),$); +#491=IFCPROPERTYSINGLEVALUE('nBLGn9L','9rupuiy9',IFCLENGTHMEASURE(0.),$); +#492=IFCPROPERTYSINGLEVALUE('bbbHK6vi','1nagSW',IFCTEXT(' '),$); +#493=IFCRELDEFINESBYPROPERTIES('0cjsuWdXDFZPzi1fnQznio',#1,'ruZNu8','JJs1vxSa',(#455),#479); +#494=IFCPROPERTYSET('1wc4p7X5DEQhac4WdouKse',#1,'xaCa2SJ','ZNcWNs1OfC',(#495,#496,#497,#498,#499,#500,#501,#502)); +#495=IFCPROPERTYSINGLEVALUE('tFfpzDiig','SIdu2vCQ0T',IFCTEXT('Nessuna'),$); +#496=IFCPROPERTYSINGLEVALUE('XBYI4Nz7','P99Xb4kQv1',IFCLENGTHMEASURE(0.),$); +#497=IFCPROPERTYSINGLEVALUE('vMPA7yc','YF96NtGV3',IFCLENGTHMEASURE(0.),$); +#498=IFCPROPERTYSINGLEVALUE('DBlQJfwae','XNBkwZW',IFCLENGTHMEASURE(0.),$); +#499=IFCPROPERTYSINGLEVALUE('iXYvNx','R1Cdahn',IFCLENGTHMEASURE(0.),$); +#500=IFCPROPERTYSINGLEVALUE('q2vjDsoTpn','7xYoBi',IFCLENGTHMEASURE(0.),$); +#501=IFCPROPERTYSINGLEVALUE('aR2QE7S6M','HFF2ktWX',IFCLENGTHMEASURE(0.),$); +#502=IFCPROPERTYSINGLEVALUE('Xl09s0','ETCde2x8T',IFCLENGTHMEASURE(0.),$); +#503=IFCRELDEFINESBYPROPERTIES('0lWV8$8Xr9gg7TN6orEwfV',#1,'ejbCBpDli4','0fSxnV',(#455),#494); +#504=IFCPROPERTYSET('1O492aJ4jF0AEZVHsS73VU',#1,'x83fUlb','A9Uxxw',(#505,#506,#507,#508,#509,#510,#511,#512,#513)); +#505=IFCPROPERTYSINGLEVALUE('xgnB4Xz1','GB01TE6O',IFCLABEL('Nessuna'),$); +#506=IFCPROPERTYSINGLEVALUE('NNS6Gtu5r','hMmi0B',IFCLENGTHMEASURE(0.),$); +#507=IFCPROPERTYSINGLEVALUE('0BsIr0Pn','dLpsPw',IFCLENGTHMEASURE(0.),$); +#508=IFCPROPERTYSINGLEVALUE('n7nPCvx','QvMaZSY8',IFCLENGTHMEASURE(0.),$); +#509=IFCPROPERTYSINGLEVALUE('MrWy4T','p22FCf2',IFCLENGTHMEASURE(0.),$); +#510=IFCPROPERTYSINGLEVALUE('oZP2FZ8Ko','vGaogr',IFCLENGTHMEASURE(0.),$); +#511=IFCPROPERTYSINGLEVALUE('5gpJDaGzh8','rVRnNNgv',IFCLENGTHMEASURE(0.),$); +#512=IFCPROPERTYSINGLEVALUE('5zDqJVL92l','SYm6N2',IFCLENGTHMEASURE(0.),$); +#513=IFCPROPERTYSINGLEVALUE('h8Aiko978o','Md3wUQCT',IFCLENGTHMEASURE(0.),$); +#514=IFCRELDEFINESBYPROPERTIES('05zHPA7z52tgYXpZunhEJM',#1,'CCCxMu6c','bMVseBd3eo',(#455),#504); +#515=IFCPROPERTYSET('1W6AfK9_X7EB56sl5jkCLj',#1,'qYdmBDzBb','lg6WfWq',(#516)); +#516=IFCPROPERTYSINGLEVALUE('m4dEew','oH1LqF4',IFCLABEL('Nessuna'),$); +#517=IFCRELDEFINESBYPROPERTIES('0f2o9_Tdn6gwsuL5hr_kBU',#1,'vjqTFiYmJQ','QFG5XsTami',(#455),#515); +#518=IFCPROPERTYSET('0n3n48U917$BN9Ch2CaxXX',#1,'VxnloDaX','XvivwyOGd',(#519,#520,#521,#522,#523)); +#519=IFCPROPERTYSINGLEVALUE('Ykk3Fl1X','3VUqTK7MaX',IFCLABEL(' '),$); +#520=IFCPROPERTYSINGLEVALUE('SKW4WMNe','SSe072UYb',IFCLABEL('0'),$); +#521=IFCPROPERTYSINGLEVALUE('9pF76JBpX','hfPZPCItR6',IFCPLANEANGLEMEASURE(0.),$); +#522=IFCPROPERTYSINGLEVALUE('vtqYupnJ','8f6kTFz6',IFCBOOLEAN(.F.),$); +#523=IFCPROPERTYSINGLEVALUE('QindDFDn','n3p67To',IFCBOOLEAN(.F.),$); +#524=IFCRELDEFINESBYPROPERTIES('37mBqR8Vz4e8dkdUtG1sqR',#1,'zRvW3QOVZw','mDPEw1',(#455),#518); +#525=IFCPROPERTYSET('3TFg1lJ$zD_8PzBTfQMbxL',#1,'F0S15o','KtZuIirN',(#526,#527,#528,#529,#530)); +#526=IFCPROPERTYSINGLEVALUE('4OzftT','92E2fg',IFCLABEL(' '),$); +#527=IFCPROPERTYSINGLEVALUE('hTQFz8qJu','P0QLc6nPe',IFCLABEL('0'),$); +#528=IFCPROPERTYSINGLEVALUE('9v1NyCyA1r','tZGK77pt',IFCPLANEANGLEMEASURE(0.),$); +#529=IFCPROPERTYSINGLEVALUE('RS1iVjz3xO','GROJEq3Ov',IFCBOOLEAN(.F.),$); +#530=IFCPROPERTYSINGLEVALUE('hmNptzx','mn9d0qZXJj',IFCBOOLEAN(.F.),$); +#531=IFCRELDEFINESBYPROPERTIES('38zombWMn2kBl9EtUKZUOP',#1,'rRs90vkJ3','qNMxonIm2G',(#455),#525); +#532=IFCPROPERTYSET('0LDSymLX523AilPRchCq_p',#1,'z04QMMDK1','ipsZPuLMl',(#533)); +#533=IFCPROPERTYSINGLEVALUE('OmiOcBoJ','ywTZGI',IFCLABEL('Nessuna'),$); +#534=IFCRELDEFINESBYPROPERTIES('3qgvUbQFX7VhczE8M7G9en',#1,'nm4PxddFNP','U9YwnSsi',(#455),#532); +#535=IFCPROPERTYSET('3b6LS$x9TEfPJIHm9r9a68',#1,'Gp6hfVZI','d5qq35',(#536,#537,#538,#539,#540)); +#536=IFCPROPERTYSINGLEVALUE('TEOdgCl','5oScALYMt',IFCLABEL(' '),$); +#537=IFCPROPERTYSINGLEVALUE('ToYdbRySxi','0jwzSF',IFCLABEL(' '),$); +#538=IFCPROPERTYSINGLEVALUE('brgekRzXk','Fl78ra1lYC',IFCPLANEANGLEMEASURE(0.),$); +#539=IFCPROPERTYSINGLEVALUE('71meagugU','aYuLMVeL',IFCBOOLEAN(.F.),$); +#540=IFCPROPERTYSINGLEVALUE('kSZP69oulZ','3manLWp',IFCLENGTHMEASURE(0.),$); +#541=IFCRELDEFINESBYPROPERTIES('3fm_Sk5x5A4PZj0ajfIcQ_',#1,'caY7BfJv2','SYfIpad',(#455),#535); +#542=IFCPROPERTYSET('0dp0_x3SL8xfqM2Cq0fAqT',#1,'htpeO5qt','HI1sWiAU',(#543,#544,#545,#546,#547)); +#543=IFCPROPERTYSINGLEVALUE('L1k2DYah','w4xzxX4K',IFCLABEL(' '),$); +#544=IFCPROPERTYSINGLEVALUE('4kwLQTf','EeFJr2Mu',IFCLABEL(' '),$); +#545=IFCPROPERTYSINGLEVALUE('KnsQ00U8oh','qi6oDfb',IFCPLANEANGLEMEASURE(0.),$); +#546=IFCPROPERTYSINGLEVALUE('YITtbtt','c23QmyK3A',IFCBOOLEAN(.F.),$); +#547=IFCPROPERTYSINGLEVALUE('yn4VXw','MR3O8P',IFCLENGTHMEASURE(0.),$); +#548=IFCRELDEFINESBYPROPERTIES('2VgX4PRGv4uwGlV3ksY53M',#1,'bH3ipDAZjo','Hba9tr5',(#455),#542); +#549=IFCPROPERTYSET('2LmlXmTbH7twk$MPpz721X',#1,'qfwjly','QfZY9KBvi',(#550,#551)); +#550=IFCPROPERTYSINGLEVALUE('XxdFrul','4WMVjiL8',IFCLABEL('Centre'),$); +#551=IFCPROPERTYSINGLEVALUE('l0YrFnnPME','tPGggjrlz',IFCLENGTHMEASURE(0.),$); +#552=IFCRELDEFINESBYPROPERTIES('2O1wcBJbz0UPTjiA2W3bJ3',#1,'pVjLYINe4S','I2YrnLD6q',(#455),#549); +#553=IFCPROPERTYSET('2KStx_i7L4oxCHRnLmdQjd',#1,'2Kgn9oL','qJrpMOS',(#554,#555)); +#554=IFCPROPERTYSINGLEVALUE('PltIeYn','uwm1oxi',IFCLABEL(' '),$); +#555=IFCPROPERTYSINGLEVALUE('539ZyR','zmqye6Ee',IFCLENGTHMEASURE(0.),$); +#556=IFCRELDEFINESBYPROPERTIES('1YyOAvA3b76RcdwECkTPYI',#1,'OdjE5YZ','TAvPoZPzS',(#455),#553); +#557=IFCPROPERTYSET('2uf1dEkiLALhxObxAi0pCp',#1,'7sbPMfchu','Ity7WksJ',(#558,#559,#560,#561,#562,#563,#564,#565,#566,#567)); +#558=IFCPROPERTYSINGLEVALUE('4ig6NWlREj','GphQBtH8',IFCINTEGER(0),$); +#559=IFCPROPERTYSINGLEVALUE('wwZUgY','PVzivzpVV7',IFCBOOLEAN(.F.),$); +#560=IFCPROPERTYSINGLEVALUE('otf8mqBLIf','gegKg8IRg',IFCBOOLEAN(.F.),$); +#561=IFCPROPERTYSINGLEVALUE('lO8YoAORoS','3ZKhc8hg',IFCLENGTHMEASURE(1.4),$); +#562=IFCPROPERTYSINGLEVALUE('h6NIJwkMYU','NmspH9L5',IFCLENGTHMEASURE(1.5),$); +#563=IFCPROPERTYSINGLEVALUE('Hk7Z3BV','G6stAye',IFCLENGTHMEASURE(0.),$); +#564=IFCPROPERTYSINGLEVALUE('0fSDcj','2uL5LXKZys',IFCLENGTHMEASURE(0.),$); +#565=IFCPROPERTYSINGLEVALUE('yKxlHvi','SppfkNBGjs',IFCLENGTHMEASURE(0.),$); +#566=IFCPROPERTYSINGLEVALUE('qny8kzP','yAD1cPSAq',IFCLENGTHMEASURE(0.),$); +#567=IFCPROPERTYSINGLEVALUE('mNdDnmC','hWAJnQI',IFCLENGTHMEASURE(0.),$); +#568=IFCRELDEFINESBYPROPERTIES('0xiOBb8nTAzxQ03cN2KfVZ',#1,'vUYcam6S','qq2ESTV',(#455),#557); +#569=IFCPROPERTYSET('3YYiT1UMH0G982uyhUoy6o',#1,'zQHEeV','v3aAiH',(#570,#571,#572,#573,#574,#575,#576,#577)); +#570=IFCPROPERTYSINGLEVALUE('1IfTWgm1Ig','Vt8kedoW',IFCLABEL(' '),$); +#571=IFCPROPERTYSINGLEVALUE('hFPiuz','CIxl9lT3a9',IFCLENGTHMEASURE(0.),$); +#572=IFCPROPERTYSINGLEVALUE('JcKlex2li','YCzfY9MgEt',IFCLENGTHMEASURE(0.),$); +#573=IFCPROPERTYSINGLEVALUE('YDbJatz','awAfAN',IFCLENGTHMEASURE(0.),$); +#574=IFCPROPERTYSINGLEVALUE('cQQLWg0S4c','yU4J6V8',IFCLENGTHMEASURE(0.),$); +#575=IFCPROPERTYSINGLEVALUE('Ojim8Pw','pjHP0d',IFCINTEGER(0),$); +#576=IFCPROPERTYSINGLEVALUE('F232k8e','WueDVD',IFCINTEGER(0),$); +#577=IFCPROPERTYSINGLEVALUE('eT8VqmkCiu','6b52Ux',IFCINTEGER(0),$); +#578=IFCRELDEFINESBYPROPERTIES('1Gr5ipuIbEVg3uqVGLSHyf',#1,'l6GDSNx','z7R9Z5tVW',(#455),#569); +#579=IFCPROPERTYSET('3wubA3tDr87AygLjwptVXj',#1,'Sw4nID9C','UmR5na',(#343,#337,#340)); +#580=IFCRELDEFINESBYPROPERTIES('250zcG_C1F9xU8lo2v4GhM',#1,'YcQ3Al','UwZXd5ofw',(#455),#579); +#581=IFCPROPERTYSET('1gj03GGdPDOeYgsC0W9SCQ',#1,'2J0j4uK19D','ypRxxsl',(#582,#583,#584,#585,#586,#587,#588,#589,#590,#591,#592,#593,#594,#595)); +#582=IFCPROPERTYSINGLEVALUE('rYIeZW0Zy8','LqShzW',IFCAREAMEASURE(2.1),$); +#583=IFCPROPERTYSINGLEVALUE('ytEAwimb5','BxHbOLxLJ',IFCAREAMEASURE(1.29),$); +#584=IFCPROPERTYSINGLEVALUE('Z90VhcfWeN','UTdJflXJ',IFCAREAMEASURE(8.1E-1),$); +#585=IFCPROPERTYSINGLEVALUE('onu8rn','JTBpi0aP',IFCAREAMEASURE(0.),$); +#586=IFCPROPERTYSINGLEVALUE('ONwsH1ycaP','1OWzNFZ',IFCAREAMEASURE(0.),$); +#587=IFCPROPERTYSINGLEVALUE('DL7INaW','KSuz2mQhC',IFCAREAMEASURE(0.),$); +#588=IFCPROPERTYSINGLEVALUE('9q6sP9','Ov6gu6JTGJ',IFCAREAMEASURE(2.1),$); +#589=IFCPROPERTYSINGLEVALUE('JEeuVS','Idn32U',IFCAREAMEASURE(0.),$); +#590=IFCPROPERTYSINGLEVALUE('WLZ5YB','ofWuxCbg',IFCAREAMEASURE(0.),$); +#591=IFCPROPERTYSINGLEVALUE('l50bktGui1','zjTGW1k',IFCAREAMEASURE(0.),$); +#592=IFCPROPERTYSINGLEVALUE('gMQrQC','vNHjGPVj8v',IFCVOLUMEMEASURE(0.),$); +#593=IFCPROPERTYSINGLEVALUE('DBofdF','ojZmFGyYO',IFCVOLUMEMEASURE(0.),$); +#594=IFCPROPERTYSINGLEVALUE('RAejYoJpP','IhY7SP1i',IFCAREAMEASURE(0.),$); +#595=IFCPROPERTYSINGLEVALUE('qG1tCvw02N','DBM7ww',IFCVOLUMEMEASURE(0.),$); +#596=IFCRELDEFINESBYPROPERTIES('0N6_wuQ6b9TBLauTqyx5OA',#1,'pveMJY4OsC','o2toDY',(#455),#581); +#597=IFCPROPERTYSET('0tCQwiQgb16REhgBkIGKAn',#1,'asWqmJECF','YBW0RVo',(#598,#599,#600,#601,#602,#603,#604,#605)); +#598=IFCPROPERTYSINGLEVALUE('JBgrhzQl','iKqNcuMaI0',IFCLABEL(' '),$); +#599=IFCPROPERTYSINGLEVALUE('9tkglCAJNN','p7WAW1S',IFCLABEL(' '),$); +#600=IFCPROPERTYSINGLEVALUE('zmWVgP','g7OnIU',IFCLABEL(' '),$); +#601=IFCPROPERTYSINGLEVALUE('VbbEsb','3eL6yia',IFCLABEL(' '),$); +#602=IFCPROPERTYSINGLEVALUE('AxZk5RhNPy','aV16JA',IFCLABEL(' '),$); +#603=IFCPROPERTYSINGLEVALUE('wkMot6','irzlJOi',IFCLABEL(' '),$); +#604=IFCPROPERTYSINGLEVALUE('BF7tQmJz9','KpaRtG',IFCLABEL(' '),$); +#605=IFCPROPERTYSINGLEVALUE('wyXhQdugy','u8DsurLrhF',IFCLABEL(' '),$); +#606=IFCRELDEFINESBYPROPERTIES('3wDw1r54XF$RIDwWQDuhI7',#1,'VgafXZA','NBKiIk9',(#455),#597); +#607=IFCPROPERTYSET('2$mIk2KMH94RZmrgca6JPj',#1,'OHGwEl','vhLajRQ4',(#608,#609,#610,#611,#612,#613,#614,#615,#616,#617)); +#608=IFCPROPERTYSINGLEVALUE('y06N0Vu','wKEGlbf',IFCLABEL(' '),$); +#609=IFCPROPERTYSINGLEVALUE('zZDGZvteEH','cSVnSQ',IFCLABEL('l 01'),$); +#610=IFCPROPERTYSINGLEVALUE('Vy30OerS','iocChJ',IFCLABEL('l'),$); +#611=IFCPROPERTYSINGLEVALUE('Cm3Y6sb','F4jTSftWV',IFCLABEL(' '),$); +#612=IFCPROPERTYSINGLEVALUE('YuPAPjvnZM','9cJTCd',IFCLABEL(' '),$); +#613=IFCPROPERTYSINGLEVALUE('5XHvzoZSZL','UV4EPsL',IFCLABEL('Kefs'),$); +#614=IFCPROPERTYSINGLEVALUE('fJZMbS5X','DWJP2TRfv',IFCLABEL(' '),$); +#615=IFCPROPERTYSINGLEVALUE('j8IemWE','ghB6bV',IFCLABEL(' '),$); +#616=IFCPROPERTYSINGLEVALUE('zBb4RgJ','wGQDxrS',IFCLABEL(' '),$); +#617=IFCPROPERTYSINGLEVALUE('K6BLIsS','bWUYvbYq0',IFCLABEL(' '),$); +#618=IFCRELDEFINESBYPROPERTIES('1YFvhP8rX0ugF8V8s8c2jB',#1,'Wuj33NwX','ifAVIXbuDu',(#455),#607); +#619=IFCPROPERTYSET('0TakpIZB53qg5CfbdHey_8',#1,'WK4r4CE','qwYOHx',(#608,#620,#621,#611,#612,#622,#614,#615,#616,#617)); +#620=IFCPROPERTYSINGLEVALUE('dJQmYKj','Oeajw8YNh',IFCLABEL(' '),$); +#621=IFCPROPERTYSINGLEVALUE('b8wVftkW','ICxZCp',IFCLABEL(' '),$); +#622=IFCPROPERTYSINGLEVALUE('bAPu4P','gMjLgueWdS',IFCLABEL(' '),$); +#623=IFCRELDEFINESBYPROPERTIES('2MaKdVyxbD1v2xN8jAZI6p',#1,'qk3meSp1r','trvvNHv',(#455),#619); +#624=IFCPROPERTYSET('3TANh6Yqz5O9iEv3$3O0d9',#1,'Kvgtr6JTD','cEt8KlVn7',(#608,#620,#621,#611,#612,#622,#614,#615,#616,#617)); +#625=IFCRELDEFINESBYPROPERTIES('0MkKr2jmP3sx0vbdQ9SPEg',#1,'qttWtjj1O','oSdRysOKy',(#455),#624); +#626=IFCPROPERTYSET('0ouygoPJ95kQFthrguc1PE',#1,'0l4a6c','EqVHMmtt1',(#627)); +#627=IFCPROPERTYSINGLEVALUE('JO7Fr7Th','jvMs57Psmb',IFCCOUNTMEASURE(3.),$); +#628=IFCRELDEFINESBYPROPERTIES('0FpNREeMDC2QXDq7MqR943',#1,'utNf3pWPR','Ke5wNq9n',(#455),#626); +#629=IFCMATERIALLIST((#54,#64,#74)); +#630=IFCRELASSOCIATESMATERIAL('3l5bXoGp95veTm5X0d2RMr',#1,'J4BZkDlAlS','VPpYVKDYgz',(#455),#629); +#631=IFCLOCALPLACEMENT(#422,#635); +#632=IFCCARTESIANPOINT((-1.4,-3.99999999999983E-2,0.)); +#633=IFCDIRECTION((0.,0.,1.)); +#634=IFCDIRECTION((1.,0.,0.)); +#635=IFCAXIS2PLACEMENT3D(#632,#633,#634); +#636=IFCPRODUCTDEFINITIONSHAPE('9xz2FaS','7PHCX5L6N2',(#643,#646,#653,#660)); +#637=IFCMAPPEDITEM(#84,#638); +#638=IFCCARTESIANTRANSFORMATIONOPERATOR3DNONUNIFORM(#639,#640,#642,1.,#641,1.,1.); +#639=IFCDIRECTION((-1.,0.,0.)); +#640=IFCDIRECTION((0.,0.,1.)); +#641=IFCDIRECTION((0.,1.,0.)); +#642=IFCCARTESIANPOINT((7.E-1,3.99999999999991E-2,0.)); +#643=IFCSHAPEREPRESENTATION(#23,'Body','MappedRepresentation',(#637)); +#644=IFCBOUNDINGBOX(#645,1.4,3.00000000000001E-1,1.5); +#645=IFCCARTESIANPOINT((1.33226762955019E-15,-1.10000000000001E-1,0.)); +#646=IFCSHAPEREPRESENTATION(#388,'Box','BoundingBox',(#644)); +#647=IFCGEOMETRICCURVESET((#648)); +#648=IFCPOLYLINE((#649,#650,#651,#652,#649)); +#649=IFCCARTESIANPOINT((1.4,3.99999999999991E-2,1.5)); +#650=IFCCARTESIANPOINT((1.4,3.99999999999991E-2,0.)); +#651=IFCCARTESIANPOINT((2.66453525910038E-15,3.99999999999991E-2,0.)); +#652=IFCCARTESIANPOINT((2.66453525910038E-15,3.99999999999991E-2,1.5)); +#653=IFCSHAPEREPRESENTATION(#392,'Profile','GeometricCurveSet',(#647)); +#654=IFCGEOMETRICCURVESET((#655)); +#655=IFCPOLYLINE((#656,#657,#658,#659,#656)); +#656=IFCCARTESIANPOINT((1.33226762955019E-15,-1.10000000000001E-1)); +#657=IFCCARTESIANPOINT((1.4,-1.10000000000001E-1)); +#658=IFCCARTESIANPOINT((1.4,1.89999999999999E-1)); +#659=IFCCARTESIANPOINT((3.5527136788005E-15,1.9E-1)); +#660=IFCSHAPEREPRESENTATION(#400,'FootPrint','GeometricCurveSet',(#654)); +#661=IFCRELFILLSELEMENT('0CZVeMI9n8CeAe_Hu8CrQq',#1,'1Qxpixh','EBUnWb',#413,#455); +#662=IFCRELVOIDSELEMENT('0nDPLnFUn9Ne8PPebglkpN',#1,'8Qi1Bv','nDkZl8Hm',#289,#413); +#663=IFCRELCONTAINEDINSPATIALSTRUCTURE('3phbMhk6n5gQs8ekIPgJ6k',#1,'6FWKHjG','upTRn4s',(#289,#455),#281); +#664=IFCBUILDINGSTOREY('0QJSA0NpzBufFwTlz3Iy5_',#1,'zBBauFe2i','qD6PhSO49n',$,#668,$,$,.ELEMENT.,3.2); +#665=IFCPROPERTYSET('1xdMEpsZTCNxPsiSovxvng',#1,'adz0UkJ','09tHHA',(#666)); +#666=IFCPROPERTYSINGLEVALUE('gUyVFncIt','jBHevdgqH',IFCINTEGER(4),$); +#667=IFCRELDEFINESBYPROPERTIES('3LBwZRefDFDgghz8zbZVov',#1,'S2BTTwB9','hHgRT1Ue8',(#664),#665); +#668=IFCLOCALPLACEMENT(#259,#672); +#669=IFCCARTESIANPOINT((0.,0.,3.2)); +#670=IFCDIRECTION((0.,0.,1.)); +#671=IFCDIRECTION((1.,0.,0.)); +#672=IFCAXIS2PLACEMENT3D(#669,#670,#671); +#673=IFCRELAGGREGATES('3KK00pjr12A90PCoglhAXi',#1,'TVl6FDL','JAxRP2o',#258,(#263,#272,#281,#664)); +#674=IFCPOSTALADDRESS($,'8xJDSD',$,$,('OtaYko'),$,'ajhfff','qIxbJ3nFn','jgXIOmcY','8H2CuM'); +#675=IFCRELAGGREGATES('0hEBZpizT7IuA9eddndvFT',#1,'ingoAP9ODB','x1fGA2wNx4',#253,(#258)); +#676=IFCRELAGGREGATES('3OG1ApaM52WPqt1BnjA0YB',#1,'gZTYcL','EH2MRUM',#7,(#253)); +#677=IFCPRESENTATIONLAYERASSIGNMENT('L4ZHNsEut6','Nylsisny',(#384),$); +#678=IFCPRESENTATIONLAYERASSIGNMENT('PEqwLbRM','AYAK8Wk',(#643),$); +#679=IFCWINDOWTYPE('0noPcdJinBDwgoJxIi1TnQ',#1,'ggpDg1iH0','Y3S535',$,$,(#682),$,$,.NOTDEFINED.,.DOUBLE_PANEL_VERTICAL.,.T.,$); +#680=IFCRELDEFINESBYTYPE('2TY6cVTGb848xQ1EQsa0iD',#1,'gdwlhgnO','yHgbWZokpL',(#455),#679); +#681=IFCRELASSOCIATESMATERIAL('3_IxeGc$zEmR6SROarfHBn',#1,'bdFC8V','SziG36vBI',(#679),#629); +#682=IFCREPRESENTATIONMAP(#683,#684); +#683=IFCAXIS2PLACEMENT3D(#21,$,$); +#684=IFCSHAPEREPRESENTATION(#23,'Body','MappedRepresentation',(#637)); +#685=IFCWALLTYPE('3Era5tluX2v8cYG84KNRR4',#1,'VQISJwwUm','tcoIe8eF8',$,$,$,$,$,.NOTDEFINED.); +#686=IFCRELDEFINESBYTYPE('3BCYUELrH4qwMH0jMLPTYA',#1,'ua44ytICh','znXQXp0',(#289),#685); +#687=IFCRELASSOCIATESMATERIAL('1ffsVUv21An8DbhmkxDPv_',#1,'3qlZQDQvip','eCKATG5Yw',(#685),#362); +#688=IFCGROUP('1Eg539twrEAwqJB_DVv5Mr',#1,'4r0vNtV8ea','LjdktE',$); +#689=IFCGROUP('31wxPUExD3bQSEedESlR4J',#1,'xtGRWw','7cYiJyhnQp',$); +#690=IFCGROUP('0okQphQsL1UuzD8vPN60C3',#1,'WFO4j0vHLd','KPeurrKTWm',$); +#691=IFCGROUP('1U1qjkQYvANwXr8zx629j7',#1,'tBZSvpgq8L','H9CoGpwuY',$); +#692=IFCRELASSIGNSTOGROUP('2b_TEbRxXBfBwj9dNT0YkP',#1,'muUVu4c','DhKKtPUx3A',(#289),$,#688); +#693=IFCRELASSIGNSTOGROUP('1p4l43nXb6ReJ$I3j7RJgJ',#1,'Th5L1imLyZ','ooiZPztw',(#289),$,#689); +#694=IFCRELASSIGNSTOGROUP('0q_Zm0AUjF_RJdTi6SKztN',#1,'eGhqTMd','YLLBp2v',(#289),$,#690); +#695=IFCRELASSIGNSTOGROUP('2xOqroc4DBGB40Dn0qLNi2',#1,'fHsIGr0S','Wji7zqVWe7',(#289),$,#691); +#696=IFCRELDECLARES('2lFnbvJk97TR9osOVvfCWC',#1,'FmzTcj','vXjUzGSIfv',#7,(#688,#689,#690,#691)); +ENDSEC; +END-ISO-10303-21; diff --git a/test/files/lay000/pass-lay000-not_activated_no_layer.ifc b/test/files/lay000/pass-lay000-not_activated_no_layer.ifc new file mode 100644 index 00000000..4e842679 --- /dev/null +++ b/test/files/lay000/pass-lay000-not_activated_no_layer.ifc @@ -0,0 +1,703 @@ +ISO-10303-21; +HEADER; +FILE_DESCRIPTION(('ViewDefinition[CoordinationView]'),'2;1'); +FILE_NAME('C:/Example/Path/Example_File.IFC','2024-07-04T11:07:30',(''),(''),'Example IFC','Example Architecture',''); +FILE_SCHEMA(('IFC4')); +ENDSEC; +DATA; +#1=IFCOWNERHISTORY(#2,#6,.READWRITE.,.NOCHANGE.,$,$,$,1717175251); +#2=IFCPERSONANDORGANIZATION(#3,#4,$); +#3=IFCPERSON($,'LyJW9CgT','qn5b8q',$,$,$,$,$); +#4=IFCORGANIZATION($,'VHvFjj','RJlCa7',$,$); +#5=IFCORGANIZATION($,'NfxCxp','UxfxG4JeSg',$,$); +#6=IFCAPPLICATION(#5,'usBIM(k)','qca8Kedy','3tb9FTNh'); +#7=IFCPROJECT('0sPVYZ6W50IgvZvKUcnIDH',#1,'J82Cw3CF','JO2nuOplxQ',$,$,$,(#19),#11); +#8=IFCPROPERTYSET('2V1QcWSxb2JeeuBzPj0HiY',#1,'acWSAbGf','R8rjU42',(#9)); +#9=IFCPROPERTYSINGLEVALUE('nOOicDw7','Vi1Guc',IFCINTEGER(2),$); +#10=IFCRELDEFINESBYPROPERTIES('2OORkakxn9off7ouiac54e',#1,'RYMJzD','LAUzaj1',(#7),#8); +#11=IFCUNITASSIGNMENT((#12,#13,#14,#15)); +#12=IFCSIUNIT(*,.LENGTHUNIT.,$,.METRE.); +#13=IFCSIUNIT(*,.AREAUNIT.,$,.SQUARE_METRE.); +#14=IFCSIUNIT(*,.VOLUMEUNIT.,$,.CUBIC_METRE.); +#15=IFCCONVERSIONBASEDUNIT(#16,.PLANEANGLEUNIT.,'0LRIo3',#17); +#16=IFCDIMENSIONALEXPONENTS(0,0,0,0,0,0,0); +#17=IFCMEASUREWITHUNIT(IFCRATIOMEASURE(1.74532925E-2),#18); +#18=IFCSIUNIT(*,.PLANEANGLEUNIT.,$,.RADIAN.); +#19=IFCGEOMETRICREPRESENTATIONCONTEXT('3D','Model',3,1.E-4,#20,#22); +#20=IFCAXIS2PLACEMENT3D(#21,$,$); +#21=IFCCARTESIANPOINT((0.,0.,0.)); +#22=IFCDIRECTION((0.,1.)); +#23=IFCGEOMETRICREPRESENTATIONSUBCONTEXT('Body','Model',*,*,*,*,#19,$,.MODEL_VIEW.,$); +#24=IFCMATERIAL('AAED9oV','g5RW3aKB9',$); +#25=IFCMATERIALDEFINITIONREPRESENTATION('wunw7SNFWQ','BFOfz1R',(#26),#24); +#26=IFCSTYLEDREPRESENTATION(#19,$,$,(#27)); +#27=IFCSTYLEDITEM($,(#29),'HjxM4N'); +#28=IFCSURFACESTYLE('19VBfxNOQt',.BOTH.,(#30)); +#29=IFCPRESENTATIONSTYLEASSIGNMENT((#28)); +#30=IFCSURFACESTYLERENDERING(#31,0.,#32,$,$,$,#33,$,.NOTDEFINED.); +#31=IFCCOLOURRGB('UDMS7rp',1.,1.,9.17647058823529E-1); +#32=IFCCOLOURRGB('IQemQL80',1.,1.,9.17647058823529E-1); +#33=IFCCOLOURRGB('92RunbzgVb',0.,0.,0.); +#34=IFCMATERIAL('vxCq6wlhB','3uhGizm15',$); +#35=IFCMATERIALDEFINITIONREPRESENTATION('WP1Feu7Ly','oiAlJo',(#36),#34); +#36=IFCSTYLEDREPRESENTATION(#19,$,$,(#37)); +#37=IFCSTYLEDITEM($,(#39),'1bsqLTx9th'); +#38=IFCSURFACESTYLE('WdXDWfOXL',.BOTH.,(#40)); +#39=IFCPRESENTATIONSTYLEASSIGNMENT((#38)); +#40=IFCSURFACESTYLERENDERING(#41,0.,#42,$,$,$,#43,$,.NOTDEFINED.); +#41=IFCCOLOURRGB('oxrhu1YbT',8.11764705882353E-1,9.05882352941176E-1,9.05882352941176E-1); +#42=IFCCOLOURRGB('6LC6cS6R',8.11764705882353E-1,9.05882352941176E-1,9.05882352941176E-1); +#43=IFCCOLOURRGB('IeKtmD9t1',0.,0.,0.); +#44=IFCMATERIAL('ua7mRf','2Zmq0pBM',$); +#45=IFCMATERIALDEFINITIONREPRESENTATION('sGYrFfiEAy','nNWZ2Vf9',(#46),#44); +#46=IFCSTYLEDREPRESENTATION(#19,$,$,(#47)); +#47=IFCSTYLEDITEM($,(#49),'MIEVEe'); +#48=IFCSURFACESTYLE('n5K8gADX',.BOTH.,(#50)); +#49=IFCPRESENTATIONSTYLEASSIGNMENT((#48)); +#50=IFCSURFACESTYLERENDERING(#51,0.,#52,$,$,$,#53,$,.NOTDEFINED.); +#51=IFCCOLOURRGB('K7OJHcnhA',1.,1.,1.); +#52=IFCCOLOURRGB('04hVaE6E',1.,1.,1.); +#53=IFCCOLOURRGB('6Zs1TE3x',0.,0.,0.); +#54=IFCMATERIAL('wAOktOG5do','mWgq2SlIv',$); +#55=IFCMATERIALDEFINITIONREPRESENTATION('WdfOEH1','slISwxTc',(#56),#54); +#56=IFCSTYLEDREPRESENTATION(#19,$,$,(#57)); +#57=IFCSTYLEDITEM($,(#59),'r1EBUqK'); +#58=IFCSURFACESTYLE('9qb1FZ8',.BOTH.,(#60)); +#59=IFCPRESENTATIONSTYLEASSIGNMENT((#58)); +#60=IFCSURFACESTYLERENDERING(#61,5.99999994039536E-1,#62,$,$,$,#63,$,.NOTDEFINED.); +#61=IFCCOLOURRGB('pYEErqihNj',1.,1.,1.); +#62=IFCCOLOURRGB('lbk7xGoSUc',1.,1.,1.); +#63=IFCCOLOURRGB('0Wj4BgImf',4.6875E-2,4.6875E-2,4.6875E-2); +#64=IFCMATERIAL('1K88eMG4','6rneA18Nm',$); +#65=IFCMATERIALDEFINITIONREPRESENTATION('xfv3IZfY','7zDpO2F9',(#66),#64); +#66=IFCSTYLEDREPRESENTATION(#19,$,$,(#67)); +#67=IFCSTYLEDITEM($,(#69),'p2k9Fig6'); +#68=IFCSURFACESTYLE('IoUdzR2zL',.BOTH.,(#70)); +#69=IFCPRESENTATIONSTYLEASSIGNMENT((#68)); +#70=IFCSURFACESTYLERENDERING(#71,0.,#72,$,$,$,#73,$,.NOTDEFINED.); +#71=IFCCOLOURRGB('VHQCjW',4.03921574354172E-1,3.29411774873734E-1,2.43137255311012E-1); +#72=IFCCOLOURRGB('ziKQjAt3NA',4.03921574354172E-1,3.29411774873734E-1,2.43137255311012E-1); +#73=IFCCOLOURRGB('FwMrwtGK',0.,0.,0.); +#74=IFCMATERIAL('k0tTNiLC','pl30UkY1',$); +#75=IFCMATERIALDEFINITIONREPRESENTATION('GF2nVl','VnoNBLk',(#76),#74); +#76=IFCSTYLEDREPRESENTATION(#19,$,$,(#77)); +#77=IFCSTYLEDITEM($,(#79),'7ool28'); +#78=IFCSURFACESTYLE('eLFAMd',.BOTH.,(#80)); +#79=IFCPRESENTATIONSTYLEASSIGNMENT((#78)); +#80=IFCSURFACESTYLERENDERING(#81,0.,#82,$,$,$,#83,$,.NOTDEFINED.); +#81=IFCCOLOURRGB('4Wo0F995oI',6.50980412960052E-1,6.70588254928589E-1,7.09803938865662E-1); +#82=IFCCOLOURRGB('D3gFT2UMk',6.50980412960052E-1,6.70588254928589E-1,7.09803938865662E-1); +#83=IFCCOLOURRGB('PjbxT40GM1',0.,0.,0.); +#84=IFCREPRESENTATIONMAP(#87,#88); +#85=IFCDIRECTION((0.,0.,1.)); +#86=IFCDIRECTION((1.,0.,0.)); +#87=IFCAXIS2PLACEMENT3D(#21,#85,#86); +#88=IFCSHAPEREPRESENTATION(#23,'Body','Tessellation',(#89,#108,#131,#158,#167,#190,#199,#208,#217,#244)); +#89=IFCPOLYGONALFACESET(#90,$,(#91,#92,#93,#94,#95,#96,#97,#98,#99,#100,#101,#102,#103,#104,#105,#106),$); +#90=IFCCARTESIANPOINTLIST3D(((-6.49999976158142E-1,1.45000004768372,-3.99999991059303E-2),(-6.49999976158142E-1,1.45000004768372,3.99999991059303E-2),(-6.49999976158142E-1,5.00000007450581E-2,3.99999991059303E-2),(-6.49999976158142E-1,5.00000007450581E-2,-3.99999991059303E-2),(-6.99999988079071E-1,1.5,3.99999991059303E-2),(-6.99999988079071E-1,0.,3.99999991059303E-2),(-6.99999988079071E-1,1.5,-3.99999991059303E-2),(-6.99999988079071E-1,0.,-3.99999991059303E-2),(6.49999976158142E-1,5.0000000745058E-2,3.99999991059303E-2),(6.49999976158142E-1,5.0000000745058E-2,-3.99999991059303E-2),(6.99999988079071E-1,0.,3.99999991059303E-2),(6.49999976158142E-1,5.00000007450581E-2,3.99999991059303E-2),(6.99999988079071E-1,0.,-3.99999991059303E-2),(6.49999976158142E-1,5.00000007450581E-2,-3.99999991059303E-2),(6.49999976158142E-1,1.45000004768372,3.99999991059303E-2),(6.49999976158142E-1,1.45000004768372,-3.99999991059303E-2),(6.99999988079071E-1,1.5,3.99999991059303E-2),(6.99999988079071E-1,1.5,-3.99999991059303E-2))); +#91=IFCINDEXEDPOLYGONALFACE((1,2,3,4)); +#92=IFCINDEXEDPOLYGONALFACE((2,5,6,3)); +#93=IFCINDEXEDPOLYGONALFACE((5,7,8,6)); +#94=IFCINDEXEDPOLYGONALFACE((7,1,4,8)); +#95=IFCINDEXEDPOLYGONALFACE((4,3,9,10)); +#96=IFCINDEXEDPOLYGONALFACE((3,6,11,12)); +#97=IFCINDEXEDPOLYGONALFACE((6,8,13,11)); +#98=IFCINDEXEDPOLYGONALFACE((8,4,14,13)); +#99=IFCINDEXEDPOLYGONALFACE((14,12,15,16)); +#100=IFCINDEXEDPOLYGONALFACE((12,11,17,15)); +#101=IFCINDEXEDPOLYGONALFACE((11,13,18,17)); +#102=IFCINDEXEDPOLYGONALFACE((13,14,16,18)); +#103=IFCINDEXEDPOLYGONALFACE((16,15,2,1)); +#104=IFCINDEXEDPOLYGONALFACE((15,17,5,2)); +#105=IFCINDEXEDPOLYGONALFACE((17,18,7,5)); +#106=IFCINDEXEDPOLYGONALFACE((18,16,1,7)); +#107=IFCSTYLEDITEM(#89,(#69),'jmF8hV'); +#108=IFCPOLYGONALFACESET(#109,$,(#110,#111,#112,#113,#114,#115,#116,#117,#118,#119,#120,#121,#122,#123,#124,#125,#126,#127,#128,#129),$); +#109=IFCCARTESIANPOINTLIST3D(((-6.10000014305115E-1,1.4099999666214,-3.50000001490116E-2),(-6.00000019185245E-1,1.39999997615814,-2.50000050291419E-2),(-6.00000019185245E-1,1.00000001490116E-1,-2.50000050291419E-2),(-6.10000014305115E-1,9.00000035762787E-2,-3.50000001490116E-2),(-6.00000023841858E-1,1.39999997615814,-2.5000000372529E-2),(-6.00000023841858E-1,1.39999997615814,3.50000001490116E-2),(-6.00000023841858E-1,1.00000001490116E-1,3.50000001490116E-2),(-6.00000023841858E-1,1.00000001490116E-1,-2.5000000372529E-2),(-6.49999976158142E-1,1.45000004768372,3.50000001490116E-2),(-6.49999976158142E-1,5.00000007450581E-2,3.50000001490116E-2),(-6.49999976158142E-1,1.45000004768372,-3.50000001490116E-2),(-6.49999976158142E-1,5.00000007450581E-2,-3.50000001490116E-2),(-6.00000023841858E-1,1.00000002421439E-1,-2.50000013038516E-2),(-5.00000007450581E-2,1.00000002421439E-1,-2.50000013038516E-2),(-3.99999991059303E-2,9.00000035762787E-2,-3.50000001490116E-2),(-5.00000007450581E-2,1.00000001490116E-1,3.50000001490116E-2),(-5.00000007450581E-2,1.00000001490116E-1,-2.5000000372529E-2),(-1.33226762955019E-15,5.00000007450581E-2,3.50000001490116E-2),(-1.33226762955019E-15,5.00000007450581E-2,-3.50000001490116E-2),(-4.99999998137355E-2,1.00000001490116E-1,-2.49999994412065E-2),(-4.99999998137354E-2,1.39999997615814,-2.49999994412064E-2),(-3.99999991059303E-2,1.4099999666214,-3.50000001490116E-2),(-5.00000007450579E-2,1.39999997615814,3.50000001490116E-2),(-5.00000007450579E-2,1.39999997615814,-2.5000000372529E-2),(-1.22124532708767E-15,1.45000004768372,3.50000001490116E-2),(-5.00000007450581E-2,1.39999997615814,3.50000001490116E-2),(-1.22124532071838E-15,1.45000004768372,-3.50000001490116E-2),(-1.22124532071838E-15,1.45000004768372,3.50000001490116E-2),(-1.22124532708767E-15,1.45000004768372,-3.50000001490116E-2),(-5.00000007450581E-2,1.39999997150153,-2.50000050291419E-2),(-6.00000023841858E-1,1.39999997150153,-2.50000050291417E-2),(-6.10000014305115E-1,1.4099999666214,-3.50000001490115E-2),(-5.00000007450581E-2,1.39999997615814,-2.5000000372529E-2))); +#110=IFCINDEXEDPOLYGONALFACE((1,2,3,4)); +#111=IFCINDEXEDPOLYGONALFACE((5,6,7,8)); +#112=IFCINDEXEDPOLYGONALFACE((6,9,10,7)); +#113=IFCINDEXEDPOLYGONALFACE((9,11,12,10)); +#114=IFCINDEXEDPOLYGONALFACE((11,1,4,12)); +#115=IFCINDEXEDPOLYGONALFACE((4,13,14,15)); +#116=IFCINDEXEDPOLYGONALFACE((8,7,16,17)); +#117=IFCINDEXEDPOLYGONALFACE((7,10,18,16)); +#118=IFCINDEXEDPOLYGONALFACE((10,12,19,18)); +#119=IFCINDEXEDPOLYGONALFACE((12,4,15,19)); +#120=IFCINDEXEDPOLYGONALFACE((15,20,21,22)); +#121=IFCINDEXEDPOLYGONALFACE((17,16,23,24)); +#122=IFCINDEXEDPOLYGONALFACE((16,18,25,26)); +#123=IFCINDEXEDPOLYGONALFACE((18,19,27,28)); +#124=IFCINDEXEDPOLYGONALFACE((19,15,22,29)); +#125=IFCINDEXEDPOLYGONALFACE((22,30,31,32)); +#126=IFCINDEXEDPOLYGONALFACE((33,26,6,5)); +#127=IFCINDEXEDPOLYGONALFACE((26,25,9,6)); +#128=IFCINDEXEDPOLYGONALFACE((25,29,11,9)); +#129=IFCINDEXEDPOLYGONALFACE((29,22,1,11)); +#130=IFCSTYLEDITEM(#108,(#69),'yPWZMhtf'); +#131=IFCPOLYGONALFACESET(#132,$,(#133,#134,#135,#136,#137,#138,#139,#140,#141,#142,#143,#144,#145,#146,#147,#148,#149,#150,#151,#152,#153,#154,#155,#156),$); +#132=IFCCARTESIANPOINTLIST3D(((-5.84999978542328E-1,1.38499999046326,-9.99999977648258E-3),(-5.79999980982393E-1,1.37999999523163,-5.00000221654773E-3),(-5.79999980982393E-1,1.19999997317791E-1,-5.00000221654773E-3),(-5.84999978542328E-1,1.15000002086163E-1,-9.99999977648258E-3),(-5.79999983310699E-1,1.37999999523163,-4.99999988824129E-3),(-5.79999983310699E-1,1.37999999523163,4.99999988824129E-3),(-5.79999983310699E-1,1.19999997317791E-1,4.99999988824129E-3),(-5.79999983310699E-1,1.19999997317791E-1,-4.99999988824129E-3),(-5.84999980870634E-1,1.38499999046326,9.99999744817615E-3),(-5.84999980870634E-1,1.15000002086163E-1,9.99999744817615E-3),(-5.84999978542328E-1,1.38499999046326,9.99999977648258E-3),(-6.00000023841858E-1,1.39999997615814,9.99999977648258E-3),(-6.00000023841858E-1,1.00000001490116E-1,9.99999977648258E-3),(-5.84999978542328E-1,1.15000002086163E-1,9.99999977648258E-3),(-6.00000023841858E-1,1.39999997615814,-9.99999977648258E-3),(-6.00000023841858E-1,1.00000001490116E-1,-9.99999977648258E-3),(-5.79999983310699E-1,1.19999999646097E-1,-5.00000221654773E-3),(-7.00000002980232E-2,1.19999999646097E-1,-5.00000221654773E-3),(-6.49999976158142E-2,1.15000002086163E-1,-9.99999977648258E-3),(-7.00000002980232E-2,1.19999997317791E-1,4.99999988824129E-3),(-7.00000002980232E-2,1.19999997317791E-1,-4.99999988824129E-3),(-5.84999978542328E-1,1.14999999757856E-1,9.99999744817615E-3),(-6.49999976158142E-2,1.14999999757856E-1,9.99999744817613E-3),(-7.00000002980232E-2,1.19999997317791E-1,4.99999988824127E-3),(-5.00000007450581E-2,1.00000001490116E-1,9.99999977648258E-3),(-6.49999976158142E-2,1.15000002086163E-1,9.99999977648258E-3),(-5.00000007450581E-2,1.00000001490116E-1,-9.99999977648258E-3),(-6.99999989010394E-2,1.19999997317791E-1,-4.99999849125743E-3),(-6.99999989010394E-2,1.37999999523163,-4.99999849125743E-3),(-6.49999976158142E-2,1.38499999046326,-9.99999977648258E-3),(-7.00000002980232E-2,1.37999999523163,4.99999988824129E-3),(-7.00000002980232E-2,1.37999999523163,-4.99999988824129E-3),(-6.49999990127981E-2,1.15000002086163E-1,1.00000011734664E-2),(-6.4999999012798E-2,1.38499999046326,1.00000011734663E-2),(-7.00000002980231E-2,1.37999999523163,4.99999988824118E-3),(-5.00000007450581E-2,1.39999997615814,9.99999977648258E-3),(-6.49999976158142E-2,1.38499999046326,9.99999977648258E-3),(-5.00000007450579E-2,1.39999997615814,-9.99999977648258E-3),(-5.00000007450579E-2,1.39999997615814,9.99999977648258E-3),(-5.00000007450581E-2,1.39999997615814,-9.99999977648258E-3),(-7.00000002980232E-2,1.37999999290332,-5.00000221654769E-3),(-5.79999983310699E-1,1.37999999290332,-5.00000221654769E-3),(-6.49999976158142E-2,1.38499999279156,9.99999744817619E-3),(-5.84999978542328E-1,1.38499999279156,9.99999744817634E-3),(-5.79999983310699E-1,1.37999999523163,4.99999988824145E-3))); +#133=IFCINDEXEDPOLYGONALFACE((1,2,3,4)); +#134=IFCINDEXEDPOLYGONALFACE((5,6,7,8)); +#135=IFCINDEXEDPOLYGONALFACE((6,9,10,7)); +#136=IFCINDEXEDPOLYGONALFACE((11,12,13,14)); +#137=IFCINDEXEDPOLYGONALFACE((12,15,16,13)); +#138=IFCINDEXEDPOLYGONALFACE((15,1,4,16)); +#139=IFCINDEXEDPOLYGONALFACE((4,17,18,19)); +#140=IFCINDEXEDPOLYGONALFACE((8,7,20,21)); +#141=IFCINDEXEDPOLYGONALFACE((7,22,23,24)); +#142=IFCINDEXEDPOLYGONALFACE((14,13,25,26)); +#143=IFCINDEXEDPOLYGONALFACE((13,16,27,25)); +#144=IFCINDEXEDPOLYGONALFACE((16,4,19,27)); +#145=IFCINDEXEDPOLYGONALFACE((19,28,29,30)); +#146=IFCINDEXEDPOLYGONALFACE((21,20,31,32)); +#147=IFCINDEXEDPOLYGONALFACE((20,33,34,35)); +#148=IFCINDEXEDPOLYGONALFACE((26,25,36,37)); +#149=IFCINDEXEDPOLYGONALFACE((25,27,38,39)); +#150=IFCINDEXEDPOLYGONALFACE((27,19,30,40)); +#151=IFCINDEXEDPOLYGONALFACE((30,41,42,1)); +#152=IFCINDEXEDPOLYGONALFACE((32,31,6,5)); +#153=IFCINDEXEDPOLYGONALFACE((31,43,44,45)); +#154=IFCINDEXEDPOLYGONALFACE((37,36,12,11)); +#155=IFCINDEXEDPOLYGONALFACE((36,40,15,12)); +#156=IFCINDEXEDPOLYGONALFACE((40,30,1,15)); +#157=IFCSTYLEDITEM(#131,(#69),'NM0pR2geMN'); +#158=IFCPOLYGONALFACESET(#159,$,(#160,#161,#162,#163,#164,#165),$); +#159=IFCCARTESIANPOINTLIST3D(((-7.00000002980232E-2,1.37999999523163,-4.99999988824129E-3),(-7.00000002980232E-2,1.19999997317791E-1,-4.99999988824129E-3),(-5.79999983310699E-1,1.19999997317791E-1,-4.99999988824129E-3),(-5.79999983310699E-1,1.37999999523163,-4.99999988824129E-3),(-5.79999983310699E-1,1.37999999523163,4.99999988824129E-3),(-5.79999983310699E-1,1.19999997317791E-1,4.99999988824129E-3),(-7.00000002980232E-2,1.19999997317791E-1,4.99999988824129E-3),(-7.00000002980232E-2,1.37999999523163,4.99999988824129E-3),(-7.00000002980231E-2,1.37999999523163,-4.99999988824129E-3),(-7.00000002980231E-2,1.37999999523163,4.99999988824129E-3))); +#160=IFCINDEXEDPOLYGONALFACE((1,2,3,4)); +#161=IFCINDEXEDPOLYGONALFACE((5,6,7,8)); +#162=IFCINDEXEDPOLYGONALFACE((4,3,6,5)); +#163=IFCINDEXEDPOLYGONALFACE((3,2,7,6)); +#164=IFCINDEXEDPOLYGONALFACE((2,9,10,7)); +#165=IFCINDEXEDPOLYGONALFACE((1,4,5,8)); +#166=IFCSTYLEDITEM(#158,(#59),'LZmDFma'); +#167=IFCPOLYGONALFACESET(#168,$,(#169,#170,#171,#172,#173,#174,#175,#176,#177,#178,#179,#180,#181,#182,#183,#184,#185,#186,#187,#188),$); +#168=IFCCARTESIANPOINTLIST3D(((3.99999991059303E-2,1.4099999666214,-3.50000001490116E-2),(4.99999998137355E-2,1.39999997615814,-2.49999994412065E-2),(4.99999998137354E-2,1.00000001490116E-1,-2.49999994412064E-2),(3.99999991059303E-2,9.00000035762787E-2,-3.50000001490116E-2),(5.00000007450581E-2,1.39999997615814,-2.5000000372529E-2),(5.00000007450581E-2,1.39999997615814,3.50000001490116E-2),(5.00000007450579E-2,1.00000001490116E-1,3.50000001490116E-2),(5.00000007450579E-2,1.00000001490116E-1,-2.5000000372529E-2),(-1.22124532708767E-15,1.45000004768372,3.50000001490116E-2),(-1.33226762955019E-15,5.00000007450581E-2,3.50000001490116E-2),(5.00000007450581E-2,1.00000001490116E-1,3.50000001490116E-2),(-1.22124532708767E-15,1.45000004768372,-3.50000001490116E-2),(-1.33226763591948E-15,5.00000007450581E-2,-3.50000001490116E-2),(-1.33226763591948E-15,5.00000007450581E-2,3.50000001490116E-2),(-1.33226762955019E-15,5.00000007450581E-2,-3.50000001490116E-2),(5.00000007450581E-2,1.00000002421439E-1,-2.50000013038516E-2),(6.00000023841858E-1,1.00000002421439E-1,-2.50000013038516E-2),(6.10000014305115E-1,9.00000035762787E-2,-3.50000001490116E-2),(5.00000007450581E-2,1.00000001490116E-1,-2.5000000372529E-2),(6.00000023841858E-1,1.00000001490116E-1,3.50000001490116E-2),(6.00000023841858E-1,1.00000001490116E-1,-2.5000000372529E-2),(6.49999976158142E-1,5.00000007450581E-2,3.50000001490116E-2),(6.49999976158142E-1,5.00000007450581E-2,-3.50000001490116E-2),(6.00000019185245E-1,1.00000001490116E-1,-2.50000050291419E-2),(6.00000019185245E-1,1.39999997615814,-2.50000050291419E-2),(6.10000014305115E-1,1.4099999666214,-3.50000001490116E-2),(6.00000023841858E-1,1.39999997615814,3.50000001490116E-2),(6.00000023841858E-1,1.39999997615814,-2.5000000372529E-2),(6.49999976158142E-1,1.45000004768372,3.50000001490116E-2),(6.49999976158142E-1,1.45000004768372,-3.50000001490116E-2),(6.00000023841858E-1,1.39999997150153,-2.50000050291419E-2),(5.00000007450581E-2,1.39999997150153,-2.50000050291419E-2))); +#169=IFCINDEXEDPOLYGONALFACE((1,2,3,4)); +#170=IFCINDEXEDPOLYGONALFACE((5,6,7,8)); +#171=IFCINDEXEDPOLYGONALFACE((6,9,10,11)); +#172=IFCINDEXEDPOLYGONALFACE((9,12,13,14)); +#173=IFCINDEXEDPOLYGONALFACE((12,1,4,15)); +#174=IFCINDEXEDPOLYGONALFACE((4,16,17,18)); +#175=IFCINDEXEDPOLYGONALFACE((19,11,20,21)); +#176=IFCINDEXEDPOLYGONALFACE((11,10,22,20)); +#177=IFCINDEXEDPOLYGONALFACE((10,15,23,22)); +#178=IFCINDEXEDPOLYGONALFACE((15,4,18,23)); +#179=IFCINDEXEDPOLYGONALFACE((18,24,25,26)); +#180=IFCINDEXEDPOLYGONALFACE((21,20,27,28)); +#181=IFCINDEXEDPOLYGONALFACE((20,22,29,27)); +#182=IFCINDEXEDPOLYGONALFACE((22,23,30,29)); +#183=IFCINDEXEDPOLYGONALFACE((23,18,26,30)); +#184=IFCINDEXEDPOLYGONALFACE((26,31,32,1)); +#185=IFCINDEXEDPOLYGONALFACE((28,27,6,5)); +#186=IFCINDEXEDPOLYGONALFACE((27,29,9,6)); +#187=IFCINDEXEDPOLYGONALFACE((29,30,12,9)); +#188=IFCINDEXEDPOLYGONALFACE((30,26,1,12)); +#189=IFCSTYLEDITEM(#167,(#69),'RSHA1XxPb'); +#190=IFCPOLYGONALFACESET(#191,$,(#192,#193,#194,#195,#196,#197),$); +#191=IFCCARTESIANPOINTLIST3D(((4.50000017881393E-2,7.69999980926514E-1,3.50000001490116E-2),(4.50000017881393E-2,7.30000019073486E-1,3.50000001490116E-2),(4.99999988824129E-3,7.30000019073486E-1,3.50000001490116E-2),(4.99999988824129E-3,7.69999980926514E-1,3.50000001490116E-2),(4.99999988824129E-3,7.69999980926514E-1,4.30000014603138E-2),(4.99999988824129E-3,7.30000019073486E-1,4.30000014603138E-2),(4.50000017881393E-2,7.30000019073486E-1,4.30000014603138E-2),(4.50000017881393E-2,7.69999980926514E-1,4.30000014603138E-2))); +#192=IFCINDEXEDPOLYGONALFACE((1,2,3,4)); +#193=IFCINDEXEDPOLYGONALFACE((5,6,7,8)); +#194=IFCINDEXEDPOLYGONALFACE((4,3,6,5)); +#195=IFCINDEXEDPOLYGONALFACE((3,2,7,6)); +#196=IFCINDEXEDPOLYGONALFACE((2,1,8,7)); +#197=IFCINDEXEDPOLYGONALFACE((1,4,5,8)); +#198=IFCSTYLEDITEM(#190,(#79),'ZoFKry1w'); +#199=IFCPOLYGONALFACESET(#200,$,(#201,#202,#203,#204,#205,#206),$); +#200=IFCCARTESIANPOINTLIST3D(((3.24999988079071E-2,7.54999995231628E-1,4.30000014603138E-2),(3.24999988079071E-2,7.45000004768372E-1,4.30000014603138E-2),(1.75000000745058E-2,7.45000004768372E-1,4.30000014603138E-2),(1.75000000745058E-2,7.54999995231628E-1,4.30000014603138E-2),(1.75000000745058E-2,7.54999995231628E-1,8.29999968409538E-2),(1.75000000745058E-2,7.45000001043081E-1,7.30000026524067E-2),(3.24999988079071E-2,7.45000001043081E-1,7.30000026524067E-2),(3.24999988079071E-2,7.54999995231628E-1,8.29999968409538E-2),(1.75000000745058E-2,7.45000004768372E-1,7.29999989271164E-2),(3.24999988079071E-2,7.45000004768372E-1,7.29999989271164E-2))); +#201=IFCINDEXEDPOLYGONALFACE((1,2,3,4)); +#202=IFCINDEXEDPOLYGONALFACE((5,6,7,8)); +#203=IFCINDEXEDPOLYGONALFACE((4,3,9,5)); +#204=IFCINDEXEDPOLYGONALFACE((3,2,10,9)); +#205=IFCINDEXEDPOLYGONALFACE((2,1,8,10)); +#206=IFCINDEXEDPOLYGONALFACE((1,4,5,8)); +#207=IFCSTYLEDITEM(#199,(#79),'fQI0KF'); +#208=IFCPOLYGONALFACESET(#209,$,(#210,#211,#212,#213,#214,#215),$); +#209=IFCCARTESIANPOINTLIST3D(((3.24999988079071E-2,7.54999995231628E-1,8.29999968409538E-2),(3.24999988079071E-2,7.45000001043081E-1,7.30000026524067E-2),(1.75000000745058E-2,7.45000001043081E-1,7.30000026524067E-2),(1.75000000745058E-2,7.54999995231628E-1,8.29999968409538E-2),(1.75000000745058E-2,6.25E-1,8.29999968409538E-2),(1.75000000745058E-2,6.25E-1,7.29999989271164E-2),(3.24999988079071E-2,6.25E-1,7.29999989271164E-2),(3.24999988079071E-2,6.25E-1,8.29999968409538E-2),(1.75000000745058E-2,7.45000004768372E-1,7.29999989271164E-2),(3.24999988079071E-2,7.45000004768372E-1,7.29999989271164E-2))); +#210=IFCINDEXEDPOLYGONALFACE((1,2,3,4)); +#211=IFCINDEXEDPOLYGONALFACE((5,6,7,8)); +#212=IFCINDEXEDPOLYGONALFACE((4,9,6,5)); +#213=IFCINDEXEDPOLYGONALFACE((9,10,7,6)); +#214=IFCINDEXEDPOLYGONALFACE((10,1,8,7)); +#215=IFCINDEXEDPOLYGONALFACE((1,4,5,8)); +#216=IFCSTYLEDITEM(#208,(#79),'TGaedUr'); +#217=IFCPOLYGONALFACESET(#218,$,(#219,#220,#221,#222,#223,#224,#225,#226,#227,#228,#229,#230,#231,#232,#233,#234,#235,#236,#237,#238,#239,#240,#241,#242),$); +#218=IFCCARTESIANPOINTLIST3D(((6.49999976158142E-2,1.38499999046326,-9.99999977648258E-3),(6.99999989010394E-2,1.37999999523163,-4.99999849125743E-3),(6.99999989010393E-2,1.19999997317791E-1,-4.99999849125738E-3),(6.49999976158142E-2,1.15000002086163E-1,-9.99999977648253E-3),(7.00000002980232E-2,1.37999999523163,-4.99999988824129E-3),(7.00000002980232E-2,1.37999999523163,4.99999988824129E-3),(7.00000002980231E-2,1.19999997317791E-1,4.99999988824129E-3),(7.00000002980231E-2,1.19999997317791E-1,-4.99999988824129E-3),(6.49999990127981E-2,1.38499999046326,1.00000011734664E-2),(6.49999990127981E-2,1.15000002086163E-1,1.00000011734664E-2),(7.00000002980232E-2,1.19999997317791E-1,4.99999988824129E-3),(6.49999976158142E-2,1.38499999046326,9.99999977648258E-3),(5.00000007450581E-2,1.39999997615814,9.99999977648258E-3),(5.00000007450581E-2,1.00000001490116E-1,9.99999977648258E-3),(6.49999976158142E-2,1.15000002086163E-1,9.99999977648258E-3),(5.00000007450581E-2,1.39999997615814,-9.99999977648258E-3),(5.00000007450579E-2,1.00000001490116E-1,-9.99999977648258E-3),(5.00000007450579E-2,1.00000001490116E-1,9.99999977648258E-3),(6.49999976158142E-2,1.15000002086163E-1,-9.99999977648258E-3),(5.00000007450581E-2,1.00000001490116E-1,-9.99999977648258E-3),(7.00000002980232E-2,1.19999999646097E-1,-5.00000221654773E-3),(5.79999983310699E-1,1.19999999646097E-1,-5.00000221654773E-3),(5.84999978542328E-1,1.15000002086163E-1,-9.99999977648258E-3),(7.00000002980232E-2,1.19999997317791E-1,-4.99999988824129E-3),(5.79999983310699E-1,1.19999997317791E-1,4.99999988824129E-3),(5.79999983310699E-1,1.19999997317791E-1,-4.99999988824129E-3),(6.49999976158142E-2,1.14999999757856E-1,9.99999744817615E-3),(5.84999978542328E-1,1.14999999757856E-1,9.99999744817613E-3),(5.79999983310699E-1,1.19999997317791E-1,4.99999988824127E-3),(6.00000023841858E-1,1.00000001490116E-1,9.99999977648258E-3),(5.84999978542328E-1,1.15000002086163E-1,9.99999977648258E-3),(6.00000023841858E-1,1.00000001490116E-1,-9.99999977648258E-3),(5.79999980982393E-1,1.19999997317791E-1,-5.00000221654773E-3),(5.79999980982393E-1,1.37999999523163,-5.00000221654773E-3),(5.84999978542328E-1,1.38499999046326,-9.99999977648258E-3),(5.79999983310699E-1,1.37999999523163,4.99999988824129E-3),(5.79999983310699E-1,1.37999999523163,-4.99999988824129E-3),(5.84999980870634E-1,1.15000002086163E-1,9.99999744817615E-3),(5.84999980870634E-1,1.38499999046326,9.99999744817603E-3),(5.799999833107E-1,1.37999999523163,4.99999988824117E-3),(6.00000023841858E-1,1.39999997615814,9.99999977648258E-3),(5.84999978542328E-1,1.38499999046326,9.99999977648258E-3),(6.00000023841858E-1,1.39999997615814,-9.99999977648258E-3),(5.79999983310699E-1,1.37999999290332,-5.00000221654769E-3),(7.00000002980232E-2,1.37999999290332,-5.00000221654769E-3),(5.84999978542328E-1,1.38499999279156,9.99999744817619E-3),(6.49999976158142E-2,1.38499999279156,9.99999744817619E-3))); +#219=IFCINDEXEDPOLYGONALFACE((1,2,3,4)); +#220=IFCINDEXEDPOLYGONALFACE((5,6,7,8)); +#221=IFCINDEXEDPOLYGONALFACE((6,9,10,11)); +#222=IFCINDEXEDPOLYGONALFACE((12,13,14,15)); +#223=IFCINDEXEDPOLYGONALFACE((13,16,17,18)); +#224=IFCINDEXEDPOLYGONALFACE((16,1,19,20)); +#225=IFCINDEXEDPOLYGONALFACE((19,21,22,23)); +#226=IFCINDEXEDPOLYGONALFACE((24,11,25,26)); +#227=IFCINDEXEDPOLYGONALFACE((11,27,28,29)); +#228=IFCINDEXEDPOLYGONALFACE((15,14,30,31)); +#229=IFCINDEXEDPOLYGONALFACE((14,20,32,30)); +#230=IFCINDEXEDPOLYGONALFACE((20,19,23,32)); +#231=IFCINDEXEDPOLYGONALFACE((23,33,34,35)); +#232=IFCINDEXEDPOLYGONALFACE((26,25,36,37)); +#233=IFCINDEXEDPOLYGONALFACE((25,38,39,40)); +#234=IFCINDEXEDPOLYGONALFACE((31,30,41,42)); +#235=IFCINDEXEDPOLYGONALFACE((30,32,43,41)); +#236=IFCINDEXEDPOLYGONALFACE((32,23,35,43)); +#237=IFCINDEXEDPOLYGONALFACE((35,44,45,1)); +#238=IFCINDEXEDPOLYGONALFACE((37,36,6,5)); +#239=IFCINDEXEDPOLYGONALFACE((36,46,47,6)); +#240=IFCINDEXEDPOLYGONALFACE((42,41,13,12)); +#241=IFCINDEXEDPOLYGONALFACE((41,43,16,13)); +#242=IFCINDEXEDPOLYGONALFACE((43,35,1,16)); +#243=IFCSTYLEDITEM(#217,(#69),'uAtkVH'); +#244=IFCPOLYGONALFACESET(#245,$,(#246,#247,#248,#249,#250,#251),$); +#245=IFCCARTESIANPOINTLIST3D(((5.79999983310699E-1,1.37999999523163,-4.99999988824129E-3),(5.79999983310699E-1,1.19999997317791E-1,-4.99999988824129E-3),(7.00000002980232E-2,1.19999997317791E-1,-4.99999988824129E-3),(7.00000002980232E-2,1.37999999523163,-4.99999988824129E-3),(7.00000002980232E-2,1.37999999523163,4.99999988824129E-3),(7.00000002980232E-2,1.19999997317791E-1,4.99999988824129E-3),(5.79999983310699E-1,1.19999997317791E-1,4.99999988824129E-3),(5.79999983310699E-1,1.37999999523163,4.99999988824129E-3),(7.00000002980231E-2,1.19999997317791E-1,-4.99999988824129E-3),(7.00000002980231E-2,1.19999997317791E-1,4.99999988824129E-3))); +#246=IFCINDEXEDPOLYGONALFACE((1,2,3,4)); +#247=IFCINDEXEDPOLYGONALFACE((5,6,7,8)); +#248=IFCINDEXEDPOLYGONALFACE((4,9,10,5)); +#249=IFCINDEXEDPOLYGONALFACE((3,2,7,6)); +#250=IFCINDEXEDPOLYGONALFACE((2,1,8,7)); +#251=IFCINDEXEDPOLYGONALFACE((1,4,5,8)); +#252=IFCSTYLEDITEM(#244,(#59),'9yGsbva0bG'); +#253=IFCSITE('2wovVXpgj1cRsZ_0dvJtaZ',#1,'XQpDvAqILs','hqXsVJ7zhR',$,#254,$,$,.ELEMENT.,(0,0,0,0),(0,0,0,0),0.,$,$); +#254=IFCLOCALPLACEMENT($,#257); +#255=IFCDIRECTION((0.,0.,1.)); +#256=IFCDIRECTION((1.,0.,0.)); +#257=IFCAXIS2PLACEMENT3D(#21,#255,#256); +#258=IFCBUILDING('1q4MsgfUf4Metm2lRt$BR5',#1,'lHnHpG','HSiRpWoxS',$,#259,$,$,.ELEMENT.,$,$,#674); +#259=IFCLOCALPLACEMENT(#254,#262); +#260=IFCDIRECTION((0.,0.,1.)); +#261=IFCDIRECTION((1.,0.,0.)); +#262=IFCAXIS2PLACEMENT3D(#21,#260,#261); +#263=IFCBUILDINGSTOREY('0bBdAVTgr2rAo1IEd_kcfo',#1,'3lc8ZLycF','NcCLTN',$,#267,$,$,.ELEMENT.,-1.5); +#264=IFCPROPERTYSET('13u1ZCs_9ApwnJgokMM1lS',#1,'eAqsHPZ0kN','YKIl6QU9',(#265)); +#265=IFCPROPERTYSINGLEVALUE('ZSAv5T8lX','x2RBedZj5',IFCINTEGER(1),$); +#266=IFCRELDEFINESBYPROPERTIES('1DOxI62Tn0P9K_sq8IAG2X',#1,'2YHnyRth30','20sc71Ok',(#263),#264); +#267=IFCLOCALPLACEMENT(#259,#271); +#268=IFCCARTESIANPOINT((0.,0.,-1.5)); +#269=IFCDIRECTION((0.,0.,1.)); +#270=IFCDIRECTION((1.,0.,0.)); +#271=IFCAXIS2PLACEMENT3D(#268,#269,#270); +#272=IFCBUILDINGSTOREY('1CtY$SxH91C8cn1Rro48HX',#1,'YroQA2K3e','xG8GnsQu',$,#276,$,$,.ELEMENT.,-5.E-1); +#273=IFCPROPERTYSET('3LaK_YqR12dB1WW6FMhiXX',#1,'omLzQMS','oBkaBl',(#274)); +#274=IFCPROPERTYSINGLEVALUE('R5T9SnyBc','vVhN87C',IFCINTEGER(2),$); +#275=IFCRELDEFINESBYPROPERTIES('1QzpvcXNvC0ehLqcJdstY9',#1,'oDJ0Pp0','cSEnhfVu4',(#272),#273); +#276=IFCLOCALPLACEMENT(#259,#280); +#277=IFCCARTESIANPOINT((0.,0.,-5.E-1)); +#278=IFCDIRECTION((0.,0.,1.)); +#279=IFCDIRECTION((1.,0.,0.)); +#280=IFCAXIS2PLACEMENT3D(#277,#278,#279); +#281=IFCBUILDINGSTOREY('0xuqbikJfFxhmSCs$dJm45',#1,'ddMPWhJr','kQw4bsL',$,#285,$,$,.ELEMENT.,0.); +#282=IFCPROPERTYSET('0mR1HWsGnAnBJeO_JICIl7',#1,'brnlV5BS','o4omD28K',(#283)); +#283=IFCPROPERTYSINGLEVALUE('e1pZw55','qwobhX',IFCINTEGER(0),$); +#284=IFCRELDEFINESBYPROPERTIES('0RPjTqCbrDQhMtfiM64u$a',#1,'oLPo5DhLB','3PZSfCrsJ',(#281),#282); +#285=IFCLOCALPLACEMENT(#259,#288); +#286=IFCDIRECTION((0.,0.,1.)); +#287=IFCDIRECTION((1.,0.,0.)); +#288=IFCAXIS2PLACEMENT3D(#21,#286,#287); +#289=IFCWALLSTANDARDCASE('338D45yJP6EQHiss4ZzJDE',#1,'YNaq9cA9','LPoqMe',$,#367,#372,$,$); +#290=IFCPROPERTYSET('1O3oTN2dTEpOxzcyg7qkAp',#1,'q3Rcv1','KoCLRNhJsV',(#291,#292,#293,#294)); +#291=IFCPROPERTYSINGLEVALUE('qrAiK4V','Lyu7OeH1',IFCINTEGER(2339),$); +#292=IFCPROPERTYSINGLEVALUE('9Zi21BU','Q9jJ76atTN',IFCINTEGER(366),$); +#293=IFCPROPERTYSINGLEVALUE('o5Aabg9y9','i0stCh1x94',IFCINTEGER(9000),$); +#294=IFCPROPERTYSINGLEVALUE('DTFhpfa','l3RiERp',IFCINTEGER(1),$); +#295=IFCRELDEFINESBYPROPERTIES('2qXOdAYwXBafVZ43H7pvuY',#1,'2dYgYMK0','9s2wdmZavj',(#289),#290); +#296=IFCPROPERTYSET('1Fp2Ts$svDPA9Vu_HhKsP5',#1,'TYe8YWLw3c','mFKhlVbjdT',(#297,#298)); +#297=IFCPROPERTYSINGLEVALUE('fpv08kh','Ru3xIi',IFCREAL(2.),$); +#298=IFCPROPERTYSINGLEVALUE('OqSJ0AH','SinNUm',IFCREAL(2.),$); +#299=IFCRELDEFINESBYPROPERTIES('2hPJmamcv3vRHWBH9SpeyJ',#1,'VhaRqVV9','4v0bruET5u',(#289),#296); +#300=IFCPROPERTYSET('1JH96WdIX2meeX6Swb0P_X',#1,'uhZ2Wt0','N1VNtL',(#301)); +#301=IFCPROPERTYSINGLEVALUE('oDoJst','mJZg6sfpzp',IFCINTEGER(2),$); +#302=IFCRELDEFINESBYPROPERTIES('32f46yj1HDFQohWUkfbIem',#1,'p3RcPx','pKfsbgpcP',(#289),#300); +#303=IFCPROPERTYSET('3hDxVzHoT729Iapa6pgrcq',#1,'KhVxPco2L','LGYRXXjg',(#304)); +#304=IFCPROPERTYSINGLEVALUE('Zs7hha47fN','07BdnBRd3',IFCINTEGER(0),$); +#305=IFCRELDEFINESBYPROPERTIES('2$q7Jg1xT4f9KmhcdtOW1o',#1,'iEwdFn39gf','jHpaj6Zx',(#289),#303); +#306=IFCPROPERTYSET('1Qnr5Q7kb5C93WKZv2pCju',#1,'doQEbf','AHUv6ijgq2',(#307,#308,#309,#310,#311,#312,#313)); +#307=IFCPROPERTYSINGLEVALUE('RuVCDnOY','wsxW2AJ3',IFCINTEGER(2339),$); +#308=IFCPROPERTYSINGLEVALUE('0tP6Cq','Zfb1iga',IFCLABEL('01'),$); +#309=IFCPROPERTYSINGLEVALUE('ii85H7','an8IFlU',IFCLABEL('02'),$); +#310=IFCPROPERTYSINGLEVALUE('omaySs20e','Oa4dJsTPls',IFCLABEL('Piano Terra'),$); +#311=IFCPROPERTYSINGLEVALUE('ASDMV8FUD2','zIXva6y',IFCLABEL('Parete in cemento armato [300.00]'),$); +#312=IFCPROPERTYSINGLEVALUE('i3sWcM','cOgQInzoXs',IFCLENGTHMEASURE(3.E-1),$); +#313=IFCPROPERTYSINGLEVALUE('V836ZzvF7M','DuIwhZ6X3P',IFCBOOLEAN(.F.),$); +#314=IFCRELDEFINESBYPROPERTIES('2OUebs9nn3bRx6TYh2Re8a',#1,'aUJqOEkaZr','72BuhDepU',(#289),#306); +#315=IFCPROPERTYSET('1_KUFQvQT9TwKTkLXcXt0g',#1,'Dxn9Zi','hz2TlZosh',(#316,#317)); +#316=IFCPROPERTYSINGLEVALUE('EahCtm','7p3N9WW',IFCLABEL(' '),$); +#317=IFCPROPERTYSINGLEVALUE('6RcCeRC','aS9ay8KWBC',IFCLABEL(' '),$); +#318=IFCRELDEFINESBYPROPERTIES('1vXYk06ef32AoOphVq8UYy',#1,'vfr6KPlPI','5XKOHH5uR',(#289),#315); +#319=IFCPROPERTYSET('3nw78_1Vz0_uwGugr5jI$i',#1,'8aBNtKg','rN2Jknt2Y',(#320,#321)); +#320=IFCPROPERTYSINGLEVALUE('LvGGgUeJq','usZbXos',IFCBOOLEAN(.F.),$); +#321=IFCPROPERTYSINGLEVALUE('SvFGGeksxH','bb5HBHE',IFCLENGTHMEASURE(0.),$); +#322=IFCRELDEFINESBYPROPERTIES('3QHBz_9a1AWwvQ8ZuzdBDr',#1,'pUEwi22jj','BIZyPnhQyk',(#289),#319); +#323=IFCPROPERTYSET('2MY3QM$f50$f82wgZ79HkI',#1,'tu8JG4fD','PP4Hsglg',(#324,#325,#326,#327)); +#324=IFCPROPERTYSINGLEVALUE('ZVKDW8OZ3','G9tS1J',IFCLABEL('rettangolo'),$); +#325=IFCPROPERTYSINGLEVALUE('0rs76XWcKc','tR0Fp5p',IFCLENGTHMEASURE(10.7225355285026),$); +#326=IFCPROPERTYSINGLEVALUE('kBLhuwY','d3dl7Rc',IFCLENGTHMEASURE(3.2),$); +#327=IFCPROPERTYSINGLEVALUE('zDKenVClz','mts9Md97E',IFCLENGTHMEASURE(0.),$); +#328=IFCRELDEFINESBYPROPERTIES('3DtGHjgDPFp9rAVvRvWuKr',#1,'7JR7M9N3x','8LO1ZmZS',(#289),#323); +#329=IFCPROPERTYSET('0ZiL4Cotb6rBVVb8QCqzt4',#1,'tsotsL8e','kFULA2',(#326,#327,#330)); +#330=IFCPROPERTYSINGLEVALUE('mebSkFVGrI','z5GW7PdIer',IFCPLANEANGLEMEASURE(2.72620932831378),$); +#331=IFCRELDEFINESBYPROPERTIES('3OSCuynO9AFPydt3M2$r_z',#1,'8DC7Sz57','JZZzua',(#289),#329); +#332=IFCPROPERTYSET('1vWU$en45Fmf_8gKX4LiaW',#1,'20oN2bAg','IsIV8rwN',(#326,#327,#333)); +#333=IFCPROPERTYSINGLEVALUE('lmUFhgsNjV','E0Bj1x',IFCPLANEANGLEMEASURE(182.726209328314),$); +#334=IFCRELDEFINESBYPROPERTIES('1rrLckkcj9f92581aSc$aC',#1,'7cSRmHlccS','7B36Mj',(#289),#332); +#335=IFCPROPERTYSET('3mc4WQNpj6NRNiRpgbDDD7',#1,'22H0yAcIc','unqzNjMsc',(#336,#337,#338,#339,#340)); +#336=IFCPROPERTYSINGLEVALUE('jEvlXN','548idR',IFCLABEL('TOP Piano Terra (Copertura 2134)'),$); +#337=IFCPROPERTYSINGLEVALUE('ihZoDxz','ThjLvohKH',IFCLENGTHMEASURE(0.),$); +#338=IFCPROPERTYSINGLEVALUE('zlCmR8X','GOqos68',IFCLENGTHMEASURE(0.),$); +#339=IFCPROPERTYSINGLEVALUE('8gKeUMyHz','n5mELohQ',IFCLENGTHMEASURE(0.),$); +#340=IFCPROPERTYSINGLEVALUE('Ja5ifE','zKb8m6onfm',IFCLABEL(''),$); +#341=IFCRELDEFINESBYPROPERTIES('1rP7KLfWT58uljAXXkFE4J',#1,'XzFFNyN','UJkmL19y',(#289),#335); +#342=IFCPROPERTYSET('27xUn1HTD7HBVe5UlYeVwQ',#1,'0Nr2UowCYP','rh1MFoB',(#343,#337,#338,#339,#340)); +#343=IFCPROPERTYSINGLEVALUE('s4dV3zHd','qSZNGTwr',IFCLABEL('Piano Terra (Piano Terra 1047)'),$); +#344=IFCRELDEFINESBYPROPERTIES('2b0jgBXinF4AS86I6eKLEF',#1,'V15UhZbA','7Vr2ib9L',(#289),#342); +#345=IFCPROPERTYSET('3miMirrMX6zRVKUifRcH48',#1,'UcqfUv','XhhbMBxxi4',(#346,#347,#348,#349,#350,#351,#352,#353,#354)); +#346=IFCPROPERTYSINGLEVALUE('BpmNiIwX','ZHqhR4',IFCLENGTHMEASURE(10.72),$); +#347=IFCPROPERTYSINGLEVALUE('3gEsuE5','U1gc72',IFCLENGTHMEASURE(10.72),$); +#348=IFCPROPERTYSINGLEVALUE('8U34sJ8X4N','Okk3exGmRC',IFCLENGTHMEASURE(3.2),$); +#349=IFCPROPERTYSINGLEVALUE('wrWrSzHGCA','Hmwpbwfo',IFCLENGTHMEASURE(3.2),$); +#350=IFCPROPERTYSINGLEVALUE('gS6URL','pGWljTdO',IFCAREAMEASURE(3.22),$); +#351=IFCPROPERTYSINGLEVALUE('24FkGQ','pWMAu8',IFCAREAMEASURE(3.22),$); +#352=IFCPROPERTYSINGLEVALUE('3lxswVkA4A','lTWx94',IFCAREAMEASURE(3.22),$); +#353=IFCPROPERTYSINGLEVALUE('sEymMZL2N','7ky2sjC1',IFCAREAMEASURE(34.3),$); +#354=IFCPROPERTYSINGLEVALUE('aIrsLw','DWG2NKmpt',IFCVOLUMEMEASURE(10.29),$); +#355=IFCRELDEFINESBYPROPERTIES('2PvvwOoRHELOWFohWLNcA_',#1,'pDfBusHVm','VT7F9Qf',(#289),#345); +#356=IFCPROPERTYSET('3joAd6T5bDVvrvp8Mc47hp',#1,'lsGwk4RtW','gnjJrBODT',(#357,#358,#359)); +#357=IFCPROPERTYSINGLEVALUE('Ocrpe47B','oh8AsbASn',IFCLABEL('Bianco'),$); +#358=IFCPROPERTYSINGLEVALUE('E3vfKN','ejzDtqMeM',IFCLABEL('Bianco'),$); +#359=IFCPROPERTYSINGLEVALUE('2FuVTU6x','RlxYsZN3r',IFCLABEL('Bianco'),$); +#360=IFCRELDEFINESBYPROPERTIES('1qn08GStb5KB3J7ZVMVEOC',#1,'OxAv7A0','MctkkPvWX',(#289),#356); +#361=IFCMATERIALLAYERSETUSAGE(#362,.AXIS2.,.POSITIVE.,-1.5E-1,$); +#362=IFCMATERIALLAYERSET((#363,#364,#365),'Parete in cemento armato','0c2ZvLJ'); +#363=IFCMATERIALLAYER(#24,1.5E-2,$,'x0nqmHorJr','JAxcbIed1F',$,$); +#364=IFCMATERIALLAYER(#34,2.7E-1,$,'qiEVUf','UJx1K11F',$,$); +#365=IFCMATERIALLAYER(#24,1.5E-2,$,'yv2ZKfW','ExAs2o5YW',$,$); +#366=IFCRELASSOCIATESMATERIAL('3iN9XBntr8Qfq_n6B76$A1',#1,'vqyNuDUGr','l5KtkYl',(#289),#361); +#367=IFCLOCALPLACEMENT(#285,#371); +#368=IFCCARTESIANPOINT((-9.3625,4.4991,0.)); +#369=IFCDIRECTION((0.,0.,1.)); +#370=IFCDIRECTION((9.98868222122434E-1,4.75633770244243E-2,0.)); +#371=IFCAXIS2PLACEMENT3D(#368,#369,#370); +#372=IFCPRODUCTDEFINITIONSHAPE('5ByCDLi','LIgVK5',(#384,#391,#399,#407,#412)); +#373=IFCEXTRUDEDAREASOLID(#375,#382,#383,3.2); +#374=IFCSTYLEDITEM(#373,(#49),'bUZt7Q0'); +#375=IFCRECTANGLEPROFILEDEF(.AREA.,$,#378,3.E-1,10.7225355285026); +#376=IFCCARTESIANPOINT((0.,0.)); +#377=IFCDIRECTION((1.,0.)); +#378=IFCAXIS2PLACEMENT2D(#376,#377); +#379=IFCCARTESIANPOINT((5.36126776425129,2.39808173319034E-14,0.)); +#380=IFCDIRECTION((0.,0.,1.)); +#381=IFCDIRECTION((0.,-1.,0.)); +#382=IFCAXIS2PLACEMENT3D(#379,#380,#381); +#383=IFCDIRECTION((0.,0.,1.)); +#384=IFCSHAPEREPRESENTATION(#23,'Body','SweptSolid',(#373)); +#385=IFCGEOMETRICREPRESENTATIONCONTEXT($,'Plan',3,1.E-4,#386,#387); +#386=IFCAXIS2PLACEMENT3D(#21,$,$); +#387=IFCDIRECTION((0.,1.)); +#388=IFCGEOMETRICREPRESENTATIONSUBCONTEXT('Box','Plan',*,*,*,*,#385,$,.PLAN_VIEW.,$); +#389=IFCBOUNDINGBOX(#390,10.7225355285026,3.00000000000048E-1,3.20000004768372); +#390=IFCCARTESIANPOINT((0.,-1.49999999999999E-1,0.)); +#391=IFCSHAPEREPRESENTATION(#388,'Box','BoundingBox',(#389)); +#392=IFCGEOMETRICREPRESENTATIONSUBCONTEXT('Profile','Model',*,*,*,*,#19,$,.MODEL_VIEW.,$); +#393=IFCGEOMETRICCURVESET((#394)); +#394=IFCPOLYLINE((#395,#396,#397,#398,#395)); +#395=IFCCARTESIANPOINT((0.,0.)); +#396=IFCCARTESIANPOINT((10.7225355285026,0.)); +#397=IFCCARTESIANPOINT((10.7225355285026,3.2)); +#398=IFCCARTESIANPOINT((0.,3.2)); +#399=IFCSHAPEREPRESENTATION(#392,'Profile','GeometricCurveSet',(#393)); +#400=IFCGEOMETRICREPRESENTATIONSUBCONTEXT('FootPrint','Model',*,*,*,*,#19,$,.MODEL_VIEW.,$); +#401=IFCGEOMETRICCURVESET((#402)); +#402=IFCPOLYLINE((#403,#404,#405,#406,#403)); +#403=IFCCARTESIANPOINT((-1.77635683940025E-15,-1.49999999999999E-1)); +#404=IFCCARTESIANPOINT((10.7225355285026,-1.49999999999953E-1)); +#405=IFCCARTESIANPOINT((10.7225355285026,1.50000000000047E-1)); +#406=IFCCARTESIANPOINT((-1.77635683940025E-15,1.5E-1)); +#407=IFCSHAPEREPRESENTATION(#400,'FootPrint','GeometricCurveSet',(#401)); +#408=IFCGEOMETRICREPRESENTATIONSUBCONTEXT('Axis','Model',*,*,*,*,#19,$,.MODEL_VIEW.,$); +#409=IFCPOLYLINE((#410,#411)); +#410=IFCCARTESIANPOINT((0.,0.)); +#411=IFCCARTESIANPOINT((10.7225355285026,4.70734562441066E-14)); +#412=IFCSHAPEREPRESENTATION(#408,'Axis','Curve2D',(#409)); +#413=IFCOPENINGELEMENT('3ZgTSy8sz2uOdIyEjwC_KP',#1,'gGAJ3l1SU','q0i84RUmhE','Opening',#422,#427,$,$); +#414=IFCPROPERTYSET('17jgTZrN5CYArWye8FkxX5',#1,'q2DWE8R','nxsjthUjLD',(#415,#416,#417,#418)); +#415=IFCPROPERTYSINGLEVALUE('TresXAu5qQ','q6oUMQ',IFCINTEGER(4294967294),$); +#416=IFCPROPERTYSINGLEVALUE('1KevFOzoR','IQcSrBxg',IFCINTEGER(2002),$); +#417=IFCPROPERTYSINGLEVALUE('attreZ40','xg13dD5',IFCINTEGER(2002),$); +#418=IFCPROPERTYSINGLEVALUE('yXhuc1oili','Wq9bpb85',IFCINTEGER(0),$); +#419=IFCRELDEFINESBYPROPERTIES('0V5Wu2s8b1whWI8yvoWy_K',#1,'nbzTAFPF','X4fLaJ8sNr',(#413),#414); +#420=IFCPROPERTYSET('2QoslLBzrBoxnoK3wE4Eew',#1,'cKKwbeNNv','HVSusyhp',(#297,#298)); +#421=IFCRELDEFINESBYPROPERTIES('25wYgxVn9Bhgf9vdcEsPkB',#1,'wZm50XYdtN','c112Cq6Dr',(#413),#420); +#422=IFCLOCALPLACEMENT(#367,#426); +#423=IFCCARTESIANPOINT((4.11371922759627,1.86517468137026E-14,0.)); +#424=IFCDIRECTION((0.,0.,1.)); +#425=IFCDIRECTION((-1.,-4.35762537165374E-15,0.)); +#426=IFCAXIS2PLACEMENT3D(#423,#424,#425); +#427=IFCPRODUCTDEFINITIONSHAPE('Yw3EMxN','Ct7fhij',(#440,#447,#454)); +#428=IFCEXTRUDEDAREASOLID(#429,#438,#439,5.E-1); +#429=IFCARBITRARYCLOSEDPROFILEDEF(.AREA.,$,#430); +#430=IFCPOLYLINE((#431,#432,#433,#434,#431)); +#431=IFCCARTESIANPOINT((0.,1.5)); +#432=IFCCARTESIANPOINT((0.,0.)); +#433=IFCCARTESIANPOINT((1.4,0.)); +#434=IFCCARTESIANPOINT((1.4,1.5)); +#435=IFCCARTESIANPOINT((0.,-2.5E-1,0.)); +#436=IFCDIRECTION((0.,1.,-0.)); +#437=IFCDIRECTION((-1.,0.,0.)); +#438=IFCAXIS2PLACEMENT3D(#435,#436,#437); +#439=IFCDIRECTION((0.,0.,1.)); +#440=IFCSHAPEREPRESENTATION(#23,'Body','SweptSolid',(#428)); +#441=IFCGEOMETRICCURVESET((#442)); +#442=IFCPOLYLINE((#443,#444,#445,#446,#443)); +#443=IFCCARTESIANPOINT((8.88178419700125E-16,8.88178419700125E-16,1.5)); +#444=IFCCARTESIANPOINT((8.88178419700125E-16,8.88178419700125E-16,0.)); +#445=IFCCARTESIANPOINT((-1.4,8.88178419700125E-16,0.)); +#446=IFCCARTESIANPOINT((-1.4,8.88178419700125E-16,1.5)); +#447=IFCSHAPEREPRESENTATION(#392,'Profile','GeometricCurveSet',(#441)); +#448=IFCGEOMETRICCURVESET((#449)); +#449=IFCPOLYLINE((#450,#451,#452,#453,#450)); +#450=IFCCARTESIANPOINT((-1.4,-1.49999999999999E-1)); +#451=IFCCARTESIANPOINT((0.,-1.49999999999999E-1)); +#452=IFCCARTESIANPOINT((1.77635683940025E-15,1.5E-1)); +#453=IFCCARTESIANPOINT((-1.4,1.50000000000001E-1)); +#454=IFCSHAPEREPRESENTATION(#400,'FootPrint','GeometricCurveSet',(#448)); +#455=IFCWINDOW('0qQpKzfkjEPBhVR0ivyCfU',#1,'wHFJvF','PDARSt',$,#631,#636,$,1.5,1.4,$,$,$); +#456=IFCPROPERTYSET('0FEeYWLnn1tu5xWtGwXXOx',#1,'yZhwgHzNte','ItBuA6',(#457,#458,#459,#294)); +#457=IFCPROPERTYSINGLEVALUE('Kvl2i3','YQEZjcVtrg',IFCINTEGER(2350),$); +#458=IFCPROPERTYSINGLEVALUE('v57oN4oHf','uMSI0U',IFCINTEGER(101),$); +#459=IFCPROPERTYSINGLEVALUE('LK7imGUtmV','TDNccW',IFCINTEGER(9004),$); +#460=IFCRELDEFINESBYPROPERTIES('3EHvmiULrDYwTWknLcAldv',#1,'emiXIM','nOpCvHO4',(#455),#456); +#461=IFCPROPERTYSET('2pHz5OFB5FSvSpXf$CI$ft',#1,'FKBAex','W8FGEi2d',(#297,#298)); +#462=IFCRELDEFINESBYPROPERTIES('3aRmkWJtrFi9aOxBSbHieK',#1,'P81ytlS','CiTeQU',(#455),#461); +#463=IFCPROPERTYSET('2TrrUKSmX2NOgu5DBGLbQs',#1,'bg2AKHiEx','gAeM13Io',(#464)); +#464=IFCPROPERTYSINGLEVALUE('9MJawg93','cQ23Fslv',IFCLABEL('338D45yJP6EQHiss4ZzJDE'),$); +#465=IFCRELDEFINESBYPROPERTIES('3zSi6gb8139gHEucS0qVsH',#1,'PglRyHm','QDCDke',(#455),#463); +#466=IFCPROPERTYSET('22spgmYcD8EQ_rDlYOBu4z',#1,'mfrwKiQoBn','Jlud9VTWaH',(#467,#308,#309,#310,#468,#469,#470)); +#467=IFCPROPERTYSINGLEVALUE('mw0leRARu','kK4QNhMc',IFCINTEGER(2350),$); +#468=IFCPROPERTYSINGLEVALUE('2lb53cZk','T0sRfHFx3',IFCLABEL('FN[R] 2AB[1V] MM'),$); +#469=IFCPROPERTYSINGLEVALUE('29GMlc936','LDpYm2u',IFCLABEL(' '),$); +#470=IFCPROPERTYSINGLEVALUE('wMm0gQ2Ocx','fJilNrr',IFCLABEL(' '),$); +#471=IFCRELDEFINESBYPROPERTIES('2qOoFZUdjBTfHbxHSm4omM',#1,'Gi6Dj5h8','oKn7kKpUqg',(#455),#466); +#472=IFCPROPERTYSET('0BeWw41Wn0WO_WhTdDwH$b',#1,'K3rY1iZTeL','tPF9kXt5fN',(#316,#317)); +#473=IFCRELDEFINESBYPROPERTIES('0fJyfDKn95FRwRHSwXLAXY',#1,'FLogPym9z','MpjZKeY',(#455),#472); +#474=IFCPROPERTYSET('0MtRxstlr1QeKz_F_XljqU',#1,'hwqFJ9K1h','1oPd7k2w',(#475,#476,#477)); +#475=IFCPROPERTYSINGLEVALUE('19R05KKrmi','KL8WHWVE',IFCLENGTHMEASURE(0.),$); +#476=IFCPROPERTYSINGLEVALUE('h6I6yfnM','gfFtN7F8',IFCLABEL('...'),$); +#477=IFCPROPERTYSINGLEVALUE('8IcD4mxDH4','VGmaqhBh',IFCLENGTHMEASURE(0.),$); +#478=IFCRELDEFINESBYPROPERTIES('2DA3PP3MD2Gemq1jMdBpbe',#1,'Wa0f2Hplo','MuBzKlfrj',(#455),#474); +#479=IFCPROPERTYSET('3f$Vk1m$v1TuwnYT1K52im',#1,'P4qGgV','CSTg3AMY',(#480,#481,#482,#483,#484,#485,#486,#487,#488,#489,#490,#491,#492)); +#480=IFCPROPERTYSINGLEVALUE('hx2IQRr8','LbYRdAbX',IFCBOOLEAN(.F.),$); +#481=IFCPROPERTYSINGLEVALUE('XxGMq4AfC','3uXnviZ',IFCBOOLEAN(.F.),$); +#482=IFCPROPERTYSINGLEVALUE('zMdGguFE','8Y2GWlw',IFCBOOLEAN(.F.),$); +#483=IFCPROPERTYSINGLEVALUE('IA95PT','yoDEUeqYM',IFCLENGTHMEASURE(0.),$); +#484=IFCPROPERTYSINGLEVALUE('tGoGDqxHWa','u0HvZrwoY',IFCLENGTHMEASURE(0.),$); +#485=IFCPROPERTYSINGLEVALUE('vm5QOwEYZ','q60iGw',IFCLENGTHMEASURE(0.),$); +#486=IFCPROPERTYSINGLEVALUE('DqYVFXDpj2','sSD5afjDQu',IFCLENGTHMEASURE(0.),$); +#487=IFCPROPERTYSINGLEVALUE('xZIAO3rB','IhVpjNC',IFCLENGTHMEASURE(0.),$); +#488=IFCPROPERTYSINGLEVALUE('EmEfBaj','JUac7MPjB2',IFCLENGTHMEASURE(0.),$); +#489=IFCPROPERTYSINGLEVALUE('IZnaZY6','vfMLHls',IFCLENGTHMEASURE(0.),$); +#490=IFCPROPERTYSINGLEVALUE('LaBHe8Hc','dqfn8f',IFCLENGTHMEASURE(0.),$); +#491=IFCPROPERTYSINGLEVALUE('nBLGn9L','9rupuiy9',IFCLENGTHMEASURE(0.),$); +#492=IFCPROPERTYSINGLEVALUE('bbbHK6vi','1nagSW',IFCTEXT(' '),$); +#493=IFCRELDEFINESBYPROPERTIES('0cjsuWdXDFZPzi1fnQznio',#1,'ruZNu8','JJs1vxSa',(#455),#479); +#494=IFCPROPERTYSET('1wc4p7X5DEQhac4WdouKse',#1,'xaCa2SJ','ZNcWNs1OfC',(#495,#496,#497,#498,#499,#500,#501,#502)); +#495=IFCPROPERTYSINGLEVALUE('tFfpzDiig','SIdu2vCQ0T',IFCTEXT('Nessuna'),$); +#496=IFCPROPERTYSINGLEVALUE('XBYI4Nz7','P99Xb4kQv1',IFCLENGTHMEASURE(0.),$); +#497=IFCPROPERTYSINGLEVALUE('vMPA7yc','YF96NtGV3',IFCLENGTHMEASURE(0.),$); +#498=IFCPROPERTYSINGLEVALUE('DBlQJfwae','XNBkwZW',IFCLENGTHMEASURE(0.),$); +#499=IFCPROPERTYSINGLEVALUE('iXYvNx','R1Cdahn',IFCLENGTHMEASURE(0.),$); +#500=IFCPROPERTYSINGLEVALUE('q2vjDsoTpn','7xYoBi',IFCLENGTHMEASURE(0.),$); +#501=IFCPROPERTYSINGLEVALUE('aR2QE7S6M','HFF2ktWX',IFCLENGTHMEASURE(0.),$); +#502=IFCPROPERTYSINGLEVALUE('Xl09s0','ETCde2x8T',IFCLENGTHMEASURE(0.),$); +#503=IFCRELDEFINESBYPROPERTIES('0lWV8$8Xr9gg7TN6orEwfV',#1,'ejbCBpDli4','0fSxnV',(#455),#494); +#504=IFCPROPERTYSET('1O492aJ4jF0AEZVHsS73VU',#1,'x83fUlb','A9Uxxw',(#505,#506,#507,#508,#509,#510,#511,#512,#513)); +#505=IFCPROPERTYSINGLEVALUE('xgnB4Xz1','GB01TE6O',IFCLABEL('Nessuna'),$); +#506=IFCPROPERTYSINGLEVALUE('NNS6Gtu5r','hMmi0B',IFCLENGTHMEASURE(0.),$); +#507=IFCPROPERTYSINGLEVALUE('0BsIr0Pn','dLpsPw',IFCLENGTHMEASURE(0.),$); +#508=IFCPROPERTYSINGLEVALUE('n7nPCvx','QvMaZSY8',IFCLENGTHMEASURE(0.),$); +#509=IFCPROPERTYSINGLEVALUE('MrWy4T','p22FCf2',IFCLENGTHMEASURE(0.),$); +#510=IFCPROPERTYSINGLEVALUE('oZP2FZ8Ko','vGaogr',IFCLENGTHMEASURE(0.),$); +#511=IFCPROPERTYSINGLEVALUE('5gpJDaGzh8','rVRnNNgv',IFCLENGTHMEASURE(0.),$); +#512=IFCPROPERTYSINGLEVALUE('5zDqJVL92l','SYm6N2',IFCLENGTHMEASURE(0.),$); +#513=IFCPROPERTYSINGLEVALUE('h8Aiko978o','Md3wUQCT',IFCLENGTHMEASURE(0.),$); +#514=IFCRELDEFINESBYPROPERTIES('05zHPA7z52tgYXpZunhEJM',#1,'CCCxMu6c','bMVseBd3eo',(#455),#504); +#515=IFCPROPERTYSET('1W6AfK9_X7EB56sl5jkCLj',#1,'qYdmBDzBb','lg6WfWq',(#516)); +#516=IFCPROPERTYSINGLEVALUE('m4dEew','oH1LqF4',IFCLABEL('Nessuna'),$); +#517=IFCRELDEFINESBYPROPERTIES('0f2o9_Tdn6gwsuL5hr_kBU',#1,'vjqTFiYmJQ','QFG5XsTami',(#455),#515); +#518=IFCPROPERTYSET('0n3n48U917$BN9Ch2CaxXX',#1,'VxnloDaX','XvivwyOGd',(#519,#520,#521,#522,#523)); +#519=IFCPROPERTYSINGLEVALUE('Ykk3Fl1X','3VUqTK7MaX',IFCLABEL(' '),$); +#520=IFCPROPERTYSINGLEVALUE('SKW4WMNe','SSe072UYb',IFCLABEL('0'),$); +#521=IFCPROPERTYSINGLEVALUE('9pF76JBpX','hfPZPCItR6',IFCPLANEANGLEMEASURE(0.),$); +#522=IFCPROPERTYSINGLEVALUE('vtqYupnJ','8f6kTFz6',IFCBOOLEAN(.F.),$); +#523=IFCPROPERTYSINGLEVALUE('QindDFDn','n3p67To',IFCBOOLEAN(.F.),$); +#524=IFCRELDEFINESBYPROPERTIES('37mBqR8Vz4e8dkdUtG1sqR',#1,'zRvW3QOVZw','mDPEw1',(#455),#518); +#525=IFCPROPERTYSET('3TFg1lJ$zD_8PzBTfQMbxL',#1,'F0S15o','KtZuIirN',(#526,#527,#528,#529,#530)); +#526=IFCPROPERTYSINGLEVALUE('4OzftT','92E2fg',IFCLABEL(' '),$); +#527=IFCPROPERTYSINGLEVALUE('hTQFz8qJu','P0QLc6nPe',IFCLABEL('0'),$); +#528=IFCPROPERTYSINGLEVALUE('9v1NyCyA1r','tZGK77pt',IFCPLANEANGLEMEASURE(0.),$); +#529=IFCPROPERTYSINGLEVALUE('RS1iVjz3xO','GROJEq3Ov',IFCBOOLEAN(.F.),$); +#530=IFCPROPERTYSINGLEVALUE('hmNptzx','mn9d0qZXJj',IFCBOOLEAN(.F.),$); +#531=IFCRELDEFINESBYPROPERTIES('38zombWMn2kBl9EtUKZUOP',#1,'rRs90vkJ3','qNMxonIm2G',(#455),#525); +#532=IFCPROPERTYSET('0LDSymLX523AilPRchCq_p',#1,'z04QMMDK1','ipsZPuLMl',(#533)); +#533=IFCPROPERTYSINGLEVALUE('OmiOcBoJ','ywTZGI',IFCLABEL('Nessuna'),$); +#534=IFCRELDEFINESBYPROPERTIES('3qgvUbQFX7VhczE8M7G9en',#1,'nm4PxddFNP','U9YwnSsi',(#455),#532); +#535=IFCPROPERTYSET('3b6LS$x9TEfPJIHm9r9a68',#1,'Gp6hfVZI','d5qq35',(#536,#537,#538,#539,#540)); +#536=IFCPROPERTYSINGLEVALUE('TEOdgCl','5oScALYMt',IFCLABEL(' '),$); +#537=IFCPROPERTYSINGLEVALUE('ToYdbRySxi','0jwzSF',IFCLABEL(' '),$); +#538=IFCPROPERTYSINGLEVALUE('brgekRzXk','Fl78ra1lYC',IFCPLANEANGLEMEASURE(0.),$); +#539=IFCPROPERTYSINGLEVALUE('71meagugU','aYuLMVeL',IFCBOOLEAN(.F.),$); +#540=IFCPROPERTYSINGLEVALUE('kSZP69oulZ','3manLWp',IFCLENGTHMEASURE(0.),$); +#541=IFCRELDEFINESBYPROPERTIES('3fm_Sk5x5A4PZj0ajfIcQ_',#1,'caY7BfJv2','SYfIpad',(#455),#535); +#542=IFCPROPERTYSET('0dp0_x3SL8xfqM2Cq0fAqT',#1,'htpeO5qt','HI1sWiAU',(#543,#544,#545,#546,#547)); +#543=IFCPROPERTYSINGLEVALUE('L1k2DYah','w4xzxX4K',IFCLABEL(' '),$); +#544=IFCPROPERTYSINGLEVALUE('4kwLQTf','EeFJr2Mu',IFCLABEL(' '),$); +#545=IFCPROPERTYSINGLEVALUE('KnsQ00U8oh','qi6oDfb',IFCPLANEANGLEMEASURE(0.),$); +#546=IFCPROPERTYSINGLEVALUE('YITtbtt','c23QmyK3A',IFCBOOLEAN(.F.),$); +#547=IFCPROPERTYSINGLEVALUE('yn4VXw','MR3O8P',IFCLENGTHMEASURE(0.),$); +#548=IFCRELDEFINESBYPROPERTIES('2VgX4PRGv4uwGlV3ksY53M',#1,'bH3ipDAZjo','Hba9tr5',(#455),#542); +#549=IFCPROPERTYSET('2LmlXmTbH7twk$MPpz721X',#1,'qfwjly','QfZY9KBvi',(#550,#551)); +#550=IFCPROPERTYSINGLEVALUE('XxdFrul','4WMVjiL8',IFCLABEL('Centro'),$); +#551=IFCPROPERTYSINGLEVALUE('l0YrFnnPME','tPGggjrlz',IFCLENGTHMEASURE(0.),$); +#552=IFCRELDEFINESBYPROPERTIES('2O1wcBJbz0UPTjiA2W3bJ3',#1,'pVjLYINe4S','I2YrnLD6q',(#455),#549); +#553=IFCPROPERTYSET('2KStx_i7L4oxCHRnLmdQjd',#1,'2Kgn9oL','qJrpMOS',(#554,#555)); +#554=IFCPROPERTYSINGLEVALUE('PltIeYn','uwm1oxi',IFCLABEL(' '),$); +#555=IFCPROPERTYSINGLEVALUE('539ZyR','zmqye6Ee',IFCLENGTHMEASURE(0.),$); +#556=IFCRELDEFINESBYPROPERTIES('1YyOAvA3b76RcdwECkTPYI',#1,'OdjE5YZ','TAvPoZPzS',(#455),#553); +#557=IFCPROPERTYSET('2uf1dEkiLALhxObxAi0pCp',#1,'7sbPMfchu','Ity7WksJ',(#558,#559,#560,#561,#562,#563,#564,#565,#566,#567)); +#558=IFCPROPERTYSINGLEVALUE('4ig6NWlREj','GphQBtH8',IFCINTEGER(0),$); +#559=IFCPROPERTYSINGLEVALUE('wwZUgY','PVzivzpVV7',IFCBOOLEAN(.F.),$); +#560=IFCPROPERTYSINGLEVALUE('otf8mqBLIf','gegKg8IRg',IFCBOOLEAN(.F.),$); +#561=IFCPROPERTYSINGLEVALUE('lO8YoAORoS','3ZKhc8hg',IFCLENGTHMEASURE(1.4),$); +#562=IFCPROPERTYSINGLEVALUE('h6NIJwkMYU','NmspH9L5',IFCLENGTHMEASURE(1.5),$); +#563=IFCPROPERTYSINGLEVALUE('Hk7Z3BV','G6stAye',IFCLENGTHMEASURE(0.),$); +#564=IFCPROPERTYSINGLEVALUE('0fSDcj','2uL5LXKZys',IFCLENGTHMEASURE(0.),$); +#565=IFCPROPERTYSINGLEVALUE('yKxlHvi','SppfkNBGjs',IFCLENGTHMEASURE(0.),$); +#566=IFCPROPERTYSINGLEVALUE('qny8kzP','yAD1cPSAq',IFCLENGTHMEASURE(0.),$); +#567=IFCPROPERTYSINGLEVALUE('mNdDnmC','hWAJnQI',IFCLENGTHMEASURE(0.),$); +#568=IFCRELDEFINESBYPROPERTIES('0xiOBb8nTAzxQ03cN2KfVZ',#1,'vUYcam6S','qq2ESTV',(#455),#557); +#569=IFCPROPERTYSET('3YYiT1UMH0G982uyhUoy6o',#1,'zQHEeV','v3aAiH',(#570,#571,#572,#573,#574,#575,#576,#577)); +#570=IFCPROPERTYSINGLEVALUE('1IfTWgm1Ig','Vt8kedoW',IFCLABEL(' '),$); +#571=IFCPROPERTYSINGLEVALUE('hFPiuz','CIxl9lT3a9',IFCLENGTHMEASURE(0.),$); +#572=IFCPROPERTYSINGLEVALUE('JcKlex2li','YCzfY9MgEt',IFCLENGTHMEASURE(0.),$); +#573=IFCPROPERTYSINGLEVALUE('YDbJatz','awAfAN',IFCLENGTHMEASURE(0.),$); +#574=IFCPROPERTYSINGLEVALUE('cQQLWg0S4c','yU4J6V8',IFCLENGTHMEASURE(0.),$); +#575=IFCPROPERTYSINGLEVALUE('Ojim8Pw','pjHP0d',IFCINTEGER(0),$); +#576=IFCPROPERTYSINGLEVALUE('F232k8e','WueDVD',IFCINTEGER(0),$); +#577=IFCPROPERTYSINGLEVALUE('eT8VqmkCiu','6b52Ux',IFCINTEGER(0),$); +#578=IFCRELDEFINESBYPROPERTIES('1Gr5ipuIbEVg3uqVGLSHyf',#1,'l6GDSNx','z7R9Z5tVW',(#455),#569); +#579=IFCPROPERTYSET('3wubA3tDr87AygLjwptVXj',#1,'Sw4nID9C','UmR5na',(#343,#337,#340)); +#580=IFCRELDEFINESBYPROPERTIES('250zcG_C1F9xU8lo2v4GhM',#1,'YcQ3Al','UwZXd5ofw',(#455),#579); +#581=IFCPROPERTYSET('1gj03GGdPDOeYgsC0W9SCQ',#1,'2J0j4uK19D','ypRxxsl',(#582,#583,#584,#585,#586,#587,#588,#589,#590,#591,#592,#593,#594,#595)); +#582=IFCPROPERTYSINGLEVALUE('rYIeZW0Zy8','LqShzW',IFCAREAMEASURE(2.1),$); +#583=IFCPROPERTYSINGLEVALUE('ytEAwimb5','BxHbOLxLJ',IFCAREAMEASURE(1.29),$); +#584=IFCPROPERTYSINGLEVALUE('Z90VhcfWeN','UTdJflXJ',IFCAREAMEASURE(8.1E-1),$); +#585=IFCPROPERTYSINGLEVALUE('onu8rn','JTBpi0aP',IFCAREAMEASURE(0.),$); +#586=IFCPROPERTYSINGLEVALUE('ONwsH1ycaP','1OWzNFZ',IFCAREAMEASURE(0.),$); +#587=IFCPROPERTYSINGLEVALUE('DL7INaW','KSuz2mQhC',IFCAREAMEASURE(0.),$); +#588=IFCPROPERTYSINGLEVALUE('9q6sP9','Ov6gu6JTGJ',IFCAREAMEASURE(2.1),$); +#589=IFCPROPERTYSINGLEVALUE('JEeuVS','Idn32U',IFCAREAMEASURE(0.),$); +#590=IFCPROPERTYSINGLEVALUE('WLZ5YB','ofWuxCbg',IFCAREAMEASURE(0.),$); +#591=IFCPROPERTYSINGLEVALUE('l50bktGui1','zjTGW1k',IFCAREAMEASURE(0.),$); +#592=IFCPROPERTYSINGLEVALUE('gMQrQC','vNHjGPVj8v',IFCVOLUMEMEASURE(0.),$); +#593=IFCPROPERTYSINGLEVALUE('DBofdF','ojZmFGyYO',IFCVOLUMEMEASURE(0.),$); +#594=IFCPROPERTYSINGLEVALUE('RAejYoJpP','IhY7SP1i',IFCAREAMEASURE(0.),$); +#595=IFCPROPERTYSINGLEVALUE('qG1tCvw02N','DBM7ww',IFCVOLUMEMEASURE(0.),$); +#596=IFCRELDEFINESBYPROPERTIES('0N6_wuQ6b9TBLauTqyx5OA',#1,'pveMJY4OsC','o2toDY',(#455),#581); +#597=IFCPROPERTYSET('0tCQwiQgb16REhgBkIGKAn',#1,'asWqmJECF','YBW0RVo',(#598,#599,#600,#601,#602,#603,#604,#605)); +#598=IFCPROPERTYSINGLEVALUE('JBgrhzQl','iKqNcuMaI0',IFCLABEL(' '),$); +#599=IFCPROPERTYSINGLEVALUE('9tkglCAJNN','p7WAW1S',IFCLABEL(' '),$); +#600=IFCPROPERTYSINGLEVALUE('zmWVgP','g7OnIU',IFCLABEL(' '),$); +#601=IFCPROPERTYSINGLEVALUE('VbbEsb','3eL6yia',IFCLABEL(' '),$); +#602=IFCPROPERTYSINGLEVALUE('AxZk5RhNPy','aV16JA',IFCLABEL(' '),$); +#603=IFCPROPERTYSINGLEVALUE('wkMot6','irzlJOi',IFCLABEL(' '),$); +#604=IFCPROPERTYSINGLEVALUE('BF7tQmJz9','KpaRtG',IFCLABEL(' '),$); +#605=IFCPROPERTYSINGLEVALUE('wyXhQdugy','u8DsurLrhF',IFCLABEL(' '),$); +#606=IFCRELDEFINESBYPROPERTIES('3wDw1r54XF$RIDwWQDuhI7',#1,'VgafXZA','NBKiIk9',(#455),#597); +#607=IFCPROPERTYSET('2$mIk2KMH94RZmrgca6JPj',#1,'OHGwEl','vhLajRQ4',(#608,#609,#610,#611,#612,#613,#614,#615,#616,#617)); +#608=IFCPROPERTYSINGLEVALUE('y06N0Vu','wKEGlbf',IFCLABEL(' '),$); +#609=IFCPROPERTYSINGLEVALUE('zZDGZvteEH','cSVnSQ',IFCLABEL('Noce 01'),$); +#610=IFCPROPERTYSINGLEVALUE('Vy30OerS','iocChJ',IFCLABEL('Trasparente'),$); +#611=IFCPROPERTYSINGLEVALUE('Cm3Y6sb','F4jTSftWV',IFCLABEL(' '),$); +#612=IFCPROPERTYSINGLEVALUE('YuPAPjvnZM','9cJTCd',IFCLABEL(' '),$); +#613=IFCPROPERTYSINGLEVALUE('5XHvzoZSZL','UV4EPsL',IFCLABEL('Alluminio satinato'),$); +#614=IFCPROPERTYSINGLEVALUE('fJZMbS5X','DWJP2TRfv',IFCLABEL(' '),$); +#615=IFCPROPERTYSINGLEVALUE('j8IemWE','ghB6bV',IFCLABEL(' '),$); +#616=IFCPROPERTYSINGLEVALUE('zBb4RgJ','wGQDxrS',IFCLABEL(' '),$); +#617=IFCPROPERTYSINGLEVALUE('K6BLIsS','bWUYvbYq0',IFCLABEL(' '),$); +#618=IFCRELDEFINESBYPROPERTIES('1YFvhP8rX0ugF8V8s8c2jB',#1,'Wuj33NwX','ifAVIXbuDu',(#455),#607); +#619=IFCPROPERTYSET('0TakpIZB53qg5CfbdHey_8',#1,'WK4r4CE','qwYOHx',(#608,#620,#621,#611,#612,#622,#614,#615,#616,#617)); +#620=IFCPROPERTYSINGLEVALUE('dJQmYKj','Oeajw8YNh',IFCLABEL(' '),$); +#621=IFCPROPERTYSINGLEVALUE('b8wVftkW','ICxZCp',IFCLABEL(' '),$); +#622=IFCPROPERTYSINGLEVALUE('bAPu4P','gMjLgueWdS',IFCLABEL(' '),$); +#623=IFCRELDEFINESBYPROPERTIES('2MaKdVyxbD1v2xN8jAZI6p',#1,'qk3meSp1r','trvvNHv',(#455),#619); +#624=IFCPROPERTYSET('3TANh6Yqz5O9iEv3$3O0d9',#1,'Kvgtr6JTD','cEt8KlVn7',(#608,#620,#621,#611,#612,#622,#614,#615,#616,#617)); +#625=IFCRELDEFINESBYPROPERTIES('0MkKr2jmP3sx0vbdQ9SPEg',#1,'qttWtjj1O','oSdRysOKy',(#455),#624); +#626=IFCPROPERTYSET('0ouygoPJ95kQFthrguc1PE',#1,'0l4a6c','EqVHMmtt1',(#627)); +#627=IFCPROPERTYSINGLEVALUE('JO7Fr7Th','jvMs57Psmb',IFCCOUNTMEASURE(3.),$); +#628=IFCRELDEFINESBYPROPERTIES('0FpNREeMDC2QXDq7MqR943',#1,'utNf3pWPR','Ke5wNq9n',(#455),#626); +#629=IFCMATERIALLIST((#54,#64,#74)); +#630=IFCRELASSOCIATESMATERIAL('3l5bXoGp95veTm5X0d2RMr',#1,'J4BZkDlAlS','VPpYVKDYgz',(#455),#629); +#631=IFCLOCALPLACEMENT(#422,#635); +#632=IFCCARTESIANPOINT((-1.4,-3.99999999999983E-2,0.)); +#633=IFCDIRECTION((0.,0.,1.)); +#634=IFCDIRECTION((1.,0.,0.)); +#635=IFCAXIS2PLACEMENT3D(#632,#633,#634); +#636=IFCPRODUCTDEFINITIONSHAPE('9xz2FaS','7PHCX5L6N2',(#643,#646,#653,#660)); +#637=IFCMAPPEDITEM(#84,#638); +#638=IFCCARTESIANTRANSFORMATIONOPERATOR3DNONUNIFORM(#639,#640,#642,1.,#641,1.,1.); +#639=IFCDIRECTION((-1.,0.,0.)); +#640=IFCDIRECTION((0.,0.,1.)); +#641=IFCDIRECTION((0.,1.,0.)); +#642=IFCCARTESIANPOINT((7.E-1,3.99999999999991E-2,0.)); +#643=IFCSHAPEREPRESENTATION(#23,'Body','MappedRepresentation',(#637)); +#644=IFCBOUNDINGBOX(#645,1.4,3.00000000000001E-1,1.5); +#645=IFCCARTESIANPOINT((1.33226762955019E-15,-1.10000000000001E-1,0.)); +#646=IFCSHAPEREPRESENTATION(#388,'Box','BoundingBox',(#644)); +#647=IFCGEOMETRICCURVESET((#648)); +#648=IFCPOLYLINE((#649,#650,#651,#652,#649)); +#649=IFCCARTESIANPOINT((1.4,3.99999999999991E-2,1.5)); +#650=IFCCARTESIANPOINT((1.4,3.99999999999991E-2,0.)); +#651=IFCCARTESIANPOINT((2.66453525910038E-15,3.99999999999991E-2,0.)); +#652=IFCCARTESIANPOINT((2.66453525910038E-15,3.99999999999991E-2,1.5)); +#653=IFCSHAPEREPRESENTATION(#392,'Profile','GeometricCurveSet',(#647)); +#654=IFCGEOMETRICCURVESET((#655)); +#655=IFCPOLYLINE((#656,#657,#658,#659,#656)); +#656=IFCCARTESIANPOINT((1.33226762955019E-15,-1.10000000000001E-1)); +#657=IFCCARTESIANPOINT((1.4,-1.10000000000001E-1)); +#658=IFCCARTESIANPOINT((1.4,1.89999999999999E-1)); +#659=IFCCARTESIANPOINT((3.5527136788005E-15,1.9E-1)); +#660=IFCSHAPEREPRESENTATION(#400,'FootPrint','GeometricCurveSet',(#654)); +#661=IFCRELFILLSELEMENT('0CZVeMI9n8CeAe_Hu8CrQq',#1,'1Qxpixh','EBUnWb',#413,#455); +#662=IFCRELVOIDSELEMENT('0nDPLnFUn9Ne8PPebglkpN',#1,'8Qi1Bv','nDkZl8Hm',#289,#413); +#663=IFCRELCONTAINEDINSPATIALSTRUCTURE('3phbMhk6n5gQs8ekIPgJ6k',#1,'6FWKHjG','upTRn4s',(#289,#455),#281); +#664=IFCBUILDINGSTOREY('0QJSA0NpzBufFwTlz3Iy5_',#1,'zBBauFe2i','qD6PhSO49n',$,#668,$,$,.ELEMENT.,3.2); +#665=IFCPROPERTYSET('1xdMEpsZTCNxPsiSovxvng',#1,'adz0UkJ','09tHHA',(#666)); +#666=IFCPROPERTYSINGLEVALUE('gUyVFncIt','jBHevdgqH',IFCINTEGER(4),$); +#667=IFCRELDEFINESBYPROPERTIES('3LBwZRefDFDgghz8zbZVov',#1,'S2BTTwB9','hHgRT1Ue8',(#664),#665); +#668=IFCLOCALPLACEMENT(#259,#672); +#669=IFCCARTESIANPOINT((0.,0.,3.2)); +#670=IFCDIRECTION((0.,0.,1.)); +#671=IFCDIRECTION((1.,0.,0.)); +#672=IFCAXIS2PLACEMENT3D(#669,#670,#671); +#673=IFCRELAGGREGATES('3KK00pjr12A90PCoglhAXi',#1,'TVl6FDL','JAxRP2o',#258,(#263,#272,#281,#664)); +#674=IFCPOSTALADDRESS($,'8xJDSD',$,$,('OtaYko'),$,'ajhfff','qIxbJ3nFn','jgXIOmcY','8H2CuM'); +#675=IFCRELAGGREGATES('0hEBZpizT7IuA9eddndvFT',#1,'ingoAP9ODB','x1fGA2wNx4',#253,(#258)); +#676=IFCRELAGGREGATES('3OG1ApaM52WPqt1BnjA0YB',#1,'gZTYcL','EH2MRUM',#7,(#253)); +#679=IFCWINDOWTYPE('0noPcdJinBDwgoJxIi1TnQ',#1,'ggpDg1iH0','Y3S535',$,$,(#682),$,$,.NOTDEFINED.,.DOUBLE_PANEL_VERTICAL.,.T.,$); +#680=IFCRELDEFINESBYTYPE('2TY6cVTGb848xQ1EQsa0iD',#1,'gdwlhgnO','yHgbWZokpL',(#455),#679); +#681=IFCRELASSOCIATESMATERIAL('3_IxeGc$zEmR6SROarfHBn',#1,'bdFC8V','SziG36vBI',(#679),#629); +#682=IFCREPRESENTATIONMAP(#683,#684); +#683=IFCAXIS2PLACEMENT3D(#21,$,$); +#684=IFCSHAPEREPRESENTATION(#23,'Body','MappedRepresentation',(#637)); +#685=IFCWALLTYPE('3Era5tluX2v8cYG84KNRR4',#1,'VQISJwwUm','tcoIe8eF8',$,$,$,$,$,.NOTDEFINED.); +#686=IFCRELDEFINESBYTYPE('3BCYUELrH4qwMH0jMLPTYA',#1,'ua44ytICh','znXQXp0',(#289),#685); +#687=IFCRELASSOCIATESMATERIAL('1ffsVUv21An8DbhmkxDPv_',#1,'3qlZQDQvip','eCKATG5Yw',(#685),#362); +#688=IFCGROUP('1Eg539twrEAwqJB_DVv5Mr',#1,'4r0vNtV8ea','LjdktE',$); +#689=IFCGROUP('31wxPUExD3bQSEedESlR4J',#1,'xtGRWw','7cYiJyhnQp',$); +#690=IFCGROUP('0okQphQsL1UuzD8vPN60C3',#1,'WFO4j0vHLd','KPeurrKTWm',$); +#691=IFCGROUP('1U1qjkQYvANwXr8zx629j7',#1,'tBZSvpgq8L','H9CoGpwuY',$); +#692=IFCRELASSIGNSTOGROUP('2b_TEbRxXBfBwj9dNT0YkP',#1,'muUVu4c','DhKKtPUx3A',(#289),$,#688); +#693=IFCRELASSIGNSTOGROUP('1p4l43nXb6ReJ$I3j7RJgJ',#1,'Th5L1imLyZ','ooiZPztw',(#289),$,#689); +#694=IFCRELASSIGNSTOGROUP('0q_Zm0AUjF_RJdTi6SKztN',#1,'eGhqTMd','YLLBp2v',(#289),$,#690); +#695=IFCRELASSIGNSTOGROUP('2xOqroc4DBGB40Dn0qLNi2',#1,'fHsIGr0S','Wji7zqVWe7',(#289),$,#691); +#696=IFCRELDECLARES('2lFnbvJk97TR9osOVvfCWC',#1,'FmzTcj','vXjUzGSIfv',#7,(#688,#689,#690,#691)); +ENDSEC; +END-ISO-10303-21;