-
Notifications
You must be signed in to change notification settings - Fork 0
/
Copy pathALU.sv
45 lines (42 loc) · 1.33 KB
/
ALU.sv
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 08/12/2024 09:29:48 AM
// Design Name:
// Module Name: ALU
// Project Name:
// Target Devices:
// Tool Versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
module ALU(
input [31:0] srcA,
input [31:0] srcB,
input [3:0] alu_fun,
output logic [31:0] alu_result
);
always_comb begin
case(alu_fun)
4'b0000: alu_result = srcA+srcB;
4'b1000: alu_result = srcA-srcB;
4'b0110: alu_result = srcA|srcB; //and, xor, srl, sll, sra, slt, sltu, lui-copy
4'b0111: alu_result = srcA&srcB;
4'b0100: alu_result = srcA^srcB;
4'b0101: alu_result = srcA>>srcB[4:0]; //only want to shift the 5 least significant bits whenever shifting
4'b0001: alu_result = srcA<<srcB[4:0];
4'b1101: alu_result = $signed(srcA)>>>srcB[4:0];
4'b0010: alu_result = $signed(srcA)<$signed(srcB);
4'b0011: alu_result = srcA<srcB;
default: alu_result = srcA; //will default to lui_copy, if not matching one of these for some reason
endcase
end
endmodule