From 8da76d16cb41efda8484d00e14db371db2998772 Mon Sep 17 00:00:00 2001 From: Pasquale Davide Schiavone Date: Mon, 8 Feb 2021 16:09:10 +0100 Subject: [PATCH] rename doc to docs --- {doc => docs}/.gitignore | 0 {doc => docs}/Makefile | 0 .../images/Back_to_Back_Memory_Transaction.png | Bin {doc => docs}/images/Basic_Memory_Transaction.png | Bin {doc => docs}/images/CV32E40P_Block_Diagram.png | Bin {doc => docs}/images/CV32E40P_Block_Diagram.svg | 0 {doc => docs}/images/CV32E40P_Pipeline.png | Bin {doc => docs}/images/Events_PCCR_PCMR_PCER.png | Bin .../images/Slow_Response_Memory_Transaction.png | Bin {doc => docs}/images/blockdiagram.svg | 0 {doc => docs}/images/debug_halted.svg | 0 {doc => docs}/images/debug_running.svg | 0 .../image_sources/Events_PCCR_PCMR_and_PCER.odg | Bin {doc => docs}/images/image_sources/debug_halted.tim | Bin .../images/image_sources/debug_running.tim | Bin {doc => docs}/images/image_sources/load_event.tim | Bin .../images/image_sources/obi_data_back_to_back.tim | Bin .../images/image_sources/obi_data_basic.tim | Bin .../image_sources/obi_data_multiple_outstanding.tim | Bin .../images/image_sources/obi_data_slow_response.tim | Bin .../images/image_sources/obi_instruction_basic.tim | Bin .../obi_instruction_multiple_outstanding.tim | Bin {doc => docs}/images/image_sources/wfi.tim | Bin {doc => docs}/images/load_event.svg | 0 {doc => docs}/images/obi_data_back_to_back.svg | 0 {doc => docs}/images/obi_data_basic.svg | 0 .../images/obi_data_multiple_outstanding.svg | 0 {doc => docs}/images/obi_data_slow_response.svg | 0 {doc => docs}/images/obi_instruction_basic.svg | 0 .../images/obi_instruction_multiple_outstanding.svg | 0 {doc => docs}/images/openhw-circle.svg | 0 {doc => docs}/images/openhw-landscape.svg | 0 {doc => docs}/images/riscv_prefetch_buffer.png | Bin {doc => docs}/images/rtl_freeze_rules.png | Bin {doc => docs}/images/wfi.svg | 0 {doc => docs}/make.bat | 0 {doc => docs}/requirements.txt | 0 {doc => docs}/source/apu.rst | 0 {doc => docs}/source/conf.py | 0 {doc => docs}/source/control_status_registers.rst | 0 {doc => docs}/source/core_versions.rst | 0 {doc => docs}/source/core_versions.rst2 | 0 {doc => docs}/source/corev_hw_loop.rst | 0 {doc => docs}/source/debug.rst | 0 {doc => docs}/source/exceptions_interrupts.rst | 0 {doc => docs}/source/fpu.rst | 0 {doc => docs}/source/getting_started.rst | 0 {doc => docs}/source/glossary.rst | 0 {doc => docs}/source/index.rst | 0 {doc => docs}/source/instruction_fetch.rst | 0 {doc => docs}/source/instruction_set_extensions.rst | 0 {doc => docs}/source/integration.rst | 0 {doc => docs}/source/intro.rst | 0 {doc => docs}/source/list.issue | 0 {doc => docs}/source/load_store_unit.rst | 0 {doc => docs}/source/perf_counters.rst | 0 {doc => docs}/source/pipeline.rst | 0 {doc => docs}/source/register_file.rst | 0 {doc => docs}/source/sleep.rst | 0 {doc => docs}/source/tracer.rst | 0 60 files changed, 0 insertions(+), 0 deletions(-) rename {doc => docs}/.gitignore (100%) rename {doc => docs}/Makefile (100%) rename {doc => docs}/images/Back_to_Back_Memory_Transaction.png (100%) rename {doc => docs}/images/Basic_Memory_Transaction.png (100%) rename {doc => docs}/images/CV32E40P_Block_Diagram.png (100%) rename {doc => docs}/images/CV32E40P_Block_Diagram.svg (100%) rename {doc => docs}/images/CV32E40P_Pipeline.png (100%) rename {doc => docs}/images/Events_PCCR_PCMR_PCER.png (100%) rename {doc => docs}/images/Slow_Response_Memory_Transaction.png (100%) rename {doc => docs}/images/blockdiagram.svg (100%) rename {doc => docs}/images/debug_halted.svg (100%) rename {doc => docs}/images/debug_running.svg (100%) rename {doc => docs}/images/image_sources/Events_PCCR_PCMR_and_PCER.odg (100%) rename {doc => docs}/images/image_sources/debug_halted.tim (100%) rename {doc => docs}/images/image_sources/debug_running.tim (100%) rename {doc => docs}/images/image_sources/load_event.tim (100%) rename {doc => docs}/images/image_sources/obi_data_back_to_back.tim (100%) rename {doc => docs}/images/image_sources/obi_data_basic.tim (100%) rename {doc => docs}/images/image_sources/obi_data_multiple_outstanding.tim (100%) rename {doc => docs}/images/image_sources/obi_data_slow_response.tim (100%) rename {doc => docs}/images/image_sources/obi_instruction_basic.tim (100%) rename {doc => docs}/images/image_sources/obi_instruction_multiple_outstanding.tim (100%) rename {doc => docs}/images/image_sources/wfi.tim (100%) rename {doc => docs}/images/load_event.svg (100%) rename {doc => docs}/images/obi_data_back_to_back.svg (100%) rename {doc => docs}/images/obi_data_basic.svg (100%) rename {doc => docs}/images/obi_data_multiple_outstanding.svg (100%) rename {doc => docs}/images/obi_data_slow_response.svg (100%) rename {doc => docs}/images/obi_instruction_basic.svg (100%) rename {doc => docs}/images/obi_instruction_multiple_outstanding.svg (100%) rename {doc => docs}/images/openhw-circle.svg (100%) rename {doc => docs}/images/openhw-landscape.svg (100%) rename {doc => docs}/images/riscv_prefetch_buffer.png (100%) rename {doc => docs}/images/rtl_freeze_rules.png (100%) rename {doc => docs}/images/wfi.svg (100%) rename {doc => docs}/make.bat (100%) rename {doc => docs}/requirements.txt (100%) rename {doc => docs}/source/apu.rst (100%) rename {doc => docs}/source/conf.py (100%) rename {doc => docs}/source/control_status_registers.rst (100%) rename {doc => docs}/source/core_versions.rst (100%) rename {doc => docs}/source/core_versions.rst2 (100%) rename {doc => docs}/source/corev_hw_loop.rst (100%) rename {doc => docs}/source/debug.rst (100%) rename {doc => docs}/source/exceptions_interrupts.rst (100%) rename {doc => docs}/source/fpu.rst (100%) rename {doc => docs}/source/getting_started.rst (100%) rename {doc => docs}/source/glossary.rst (100%) rename {doc => docs}/source/index.rst (100%) rename {doc => docs}/source/instruction_fetch.rst (100%) rename {doc => docs}/source/instruction_set_extensions.rst (100%) rename {doc => docs}/source/integration.rst (100%) rename {doc => docs}/source/intro.rst (100%) rename {doc => docs}/source/list.issue (100%) rename {doc => docs}/source/load_store_unit.rst (100%) rename {doc => docs}/source/perf_counters.rst (100%) rename {doc => docs}/source/pipeline.rst (100%) rename {doc => docs}/source/register_file.rst (100%) rename {doc => docs}/source/sleep.rst (100%) rename {doc => docs}/source/tracer.rst (100%) diff --git a/doc/.gitignore b/docs/.gitignore similarity index 100% rename from doc/.gitignore rename to docs/.gitignore diff --git a/doc/Makefile b/docs/Makefile similarity index 100% rename from doc/Makefile rename to docs/Makefile diff --git a/doc/images/Back_to_Back_Memory_Transaction.png b/docs/images/Back_to_Back_Memory_Transaction.png similarity index 100% rename from doc/images/Back_to_Back_Memory_Transaction.png rename to docs/images/Back_to_Back_Memory_Transaction.png diff --git a/doc/images/Basic_Memory_Transaction.png b/docs/images/Basic_Memory_Transaction.png similarity index 100% rename from doc/images/Basic_Memory_Transaction.png rename to docs/images/Basic_Memory_Transaction.png diff --git a/doc/images/CV32E40P_Block_Diagram.png b/docs/images/CV32E40P_Block_Diagram.png similarity index 100% rename from doc/images/CV32E40P_Block_Diagram.png rename to docs/images/CV32E40P_Block_Diagram.png diff --git a/doc/images/CV32E40P_Block_Diagram.svg b/docs/images/CV32E40P_Block_Diagram.svg similarity index 100% rename from doc/images/CV32E40P_Block_Diagram.svg rename to docs/images/CV32E40P_Block_Diagram.svg diff --git a/doc/images/CV32E40P_Pipeline.png b/docs/images/CV32E40P_Pipeline.png similarity index 100% rename from doc/images/CV32E40P_Pipeline.png rename to docs/images/CV32E40P_Pipeline.png diff --git a/doc/images/Events_PCCR_PCMR_PCER.png b/docs/images/Events_PCCR_PCMR_PCER.png similarity index 100% rename from doc/images/Events_PCCR_PCMR_PCER.png rename to docs/images/Events_PCCR_PCMR_PCER.png diff --git a/doc/images/Slow_Response_Memory_Transaction.png b/docs/images/Slow_Response_Memory_Transaction.png similarity index 100% rename from doc/images/Slow_Response_Memory_Transaction.png rename to docs/images/Slow_Response_Memory_Transaction.png diff --git a/doc/images/blockdiagram.svg b/docs/images/blockdiagram.svg similarity index 100% rename from doc/images/blockdiagram.svg rename to docs/images/blockdiagram.svg diff --git a/doc/images/debug_halted.svg b/docs/images/debug_halted.svg similarity index 100% rename from doc/images/debug_halted.svg rename to docs/images/debug_halted.svg diff --git a/doc/images/debug_running.svg b/docs/images/debug_running.svg similarity index 100% rename from doc/images/debug_running.svg rename to docs/images/debug_running.svg diff --git a/doc/images/image_sources/Events_PCCR_PCMR_and_PCER.odg b/docs/images/image_sources/Events_PCCR_PCMR_and_PCER.odg similarity index 100% rename from doc/images/image_sources/Events_PCCR_PCMR_and_PCER.odg rename to docs/images/image_sources/Events_PCCR_PCMR_and_PCER.odg diff --git a/doc/images/image_sources/debug_halted.tim b/docs/images/image_sources/debug_halted.tim similarity index 100% rename from doc/images/image_sources/debug_halted.tim rename to docs/images/image_sources/debug_halted.tim diff --git a/doc/images/image_sources/debug_running.tim b/docs/images/image_sources/debug_running.tim similarity index 100% rename from doc/images/image_sources/debug_running.tim rename to docs/images/image_sources/debug_running.tim diff --git a/doc/images/image_sources/load_event.tim b/docs/images/image_sources/load_event.tim similarity index 100% rename from doc/images/image_sources/load_event.tim rename to docs/images/image_sources/load_event.tim diff --git a/doc/images/image_sources/obi_data_back_to_back.tim b/docs/images/image_sources/obi_data_back_to_back.tim similarity index 100% rename from doc/images/image_sources/obi_data_back_to_back.tim rename to docs/images/image_sources/obi_data_back_to_back.tim diff --git a/doc/images/image_sources/obi_data_basic.tim b/docs/images/image_sources/obi_data_basic.tim similarity index 100% rename from doc/images/image_sources/obi_data_basic.tim rename to docs/images/image_sources/obi_data_basic.tim diff --git a/doc/images/image_sources/obi_data_multiple_outstanding.tim b/docs/images/image_sources/obi_data_multiple_outstanding.tim similarity index 100% rename from doc/images/image_sources/obi_data_multiple_outstanding.tim rename to docs/images/image_sources/obi_data_multiple_outstanding.tim diff --git a/doc/images/image_sources/obi_data_slow_response.tim b/docs/images/image_sources/obi_data_slow_response.tim similarity index 100% rename from doc/images/image_sources/obi_data_slow_response.tim rename to docs/images/image_sources/obi_data_slow_response.tim diff --git a/doc/images/image_sources/obi_instruction_basic.tim b/docs/images/image_sources/obi_instruction_basic.tim similarity index 100% rename from doc/images/image_sources/obi_instruction_basic.tim rename to docs/images/image_sources/obi_instruction_basic.tim diff --git a/doc/images/image_sources/obi_instruction_multiple_outstanding.tim b/docs/images/image_sources/obi_instruction_multiple_outstanding.tim similarity index 100% rename from doc/images/image_sources/obi_instruction_multiple_outstanding.tim rename to docs/images/image_sources/obi_instruction_multiple_outstanding.tim diff --git a/doc/images/image_sources/wfi.tim b/docs/images/image_sources/wfi.tim similarity index 100% rename from doc/images/image_sources/wfi.tim rename to docs/images/image_sources/wfi.tim diff --git a/doc/images/load_event.svg b/docs/images/load_event.svg similarity index 100% rename from doc/images/load_event.svg rename to docs/images/load_event.svg diff --git a/doc/images/obi_data_back_to_back.svg b/docs/images/obi_data_back_to_back.svg similarity index 100% rename from doc/images/obi_data_back_to_back.svg rename to docs/images/obi_data_back_to_back.svg diff --git a/doc/images/obi_data_basic.svg b/docs/images/obi_data_basic.svg similarity index 100% rename from doc/images/obi_data_basic.svg rename to docs/images/obi_data_basic.svg diff --git a/doc/images/obi_data_multiple_outstanding.svg b/docs/images/obi_data_multiple_outstanding.svg similarity index 100% rename from doc/images/obi_data_multiple_outstanding.svg rename to docs/images/obi_data_multiple_outstanding.svg diff --git a/doc/images/obi_data_slow_response.svg b/docs/images/obi_data_slow_response.svg similarity index 100% rename from doc/images/obi_data_slow_response.svg rename to docs/images/obi_data_slow_response.svg diff --git a/doc/images/obi_instruction_basic.svg b/docs/images/obi_instruction_basic.svg similarity index 100% rename from doc/images/obi_instruction_basic.svg rename to docs/images/obi_instruction_basic.svg diff --git a/doc/images/obi_instruction_multiple_outstanding.svg b/docs/images/obi_instruction_multiple_outstanding.svg similarity index 100% rename from doc/images/obi_instruction_multiple_outstanding.svg rename to docs/images/obi_instruction_multiple_outstanding.svg diff --git a/doc/images/openhw-circle.svg b/docs/images/openhw-circle.svg similarity index 100% rename from doc/images/openhw-circle.svg rename to docs/images/openhw-circle.svg diff --git a/doc/images/openhw-landscape.svg b/docs/images/openhw-landscape.svg similarity index 100% rename from doc/images/openhw-landscape.svg rename to docs/images/openhw-landscape.svg diff --git a/doc/images/riscv_prefetch_buffer.png b/docs/images/riscv_prefetch_buffer.png similarity index 100% rename from doc/images/riscv_prefetch_buffer.png rename to docs/images/riscv_prefetch_buffer.png diff --git a/doc/images/rtl_freeze_rules.png b/docs/images/rtl_freeze_rules.png similarity index 100% rename from doc/images/rtl_freeze_rules.png rename to docs/images/rtl_freeze_rules.png diff --git a/doc/images/wfi.svg b/docs/images/wfi.svg similarity index 100% rename from doc/images/wfi.svg rename to docs/images/wfi.svg diff --git a/doc/make.bat b/docs/make.bat similarity index 100% rename from doc/make.bat rename to docs/make.bat diff --git a/doc/requirements.txt b/docs/requirements.txt similarity index 100% rename from doc/requirements.txt rename to docs/requirements.txt diff --git a/doc/source/apu.rst b/docs/source/apu.rst similarity index 100% rename from doc/source/apu.rst rename to docs/source/apu.rst diff --git a/doc/source/conf.py b/docs/source/conf.py similarity index 100% rename from doc/source/conf.py rename to docs/source/conf.py diff --git a/doc/source/control_status_registers.rst b/docs/source/control_status_registers.rst similarity index 100% rename from doc/source/control_status_registers.rst rename to docs/source/control_status_registers.rst diff --git a/doc/source/core_versions.rst b/docs/source/core_versions.rst similarity index 100% rename from doc/source/core_versions.rst rename to docs/source/core_versions.rst diff --git a/doc/source/core_versions.rst2 b/docs/source/core_versions.rst2 similarity index 100% rename from doc/source/core_versions.rst2 rename to docs/source/core_versions.rst2 diff --git a/doc/source/corev_hw_loop.rst b/docs/source/corev_hw_loop.rst similarity index 100% rename from doc/source/corev_hw_loop.rst rename to docs/source/corev_hw_loop.rst diff --git a/doc/source/debug.rst b/docs/source/debug.rst similarity index 100% rename from doc/source/debug.rst rename to docs/source/debug.rst diff --git a/doc/source/exceptions_interrupts.rst b/docs/source/exceptions_interrupts.rst similarity index 100% rename from doc/source/exceptions_interrupts.rst rename to docs/source/exceptions_interrupts.rst diff --git a/doc/source/fpu.rst b/docs/source/fpu.rst similarity index 100% rename from doc/source/fpu.rst rename to docs/source/fpu.rst diff --git a/doc/source/getting_started.rst b/docs/source/getting_started.rst similarity index 100% rename from doc/source/getting_started.rst rename to docs/source/getting_started.rst diff --git a/doc/source/glossary.rst b/docs/source/glossary.rst similarity index 100% rename from doc/source/glossary.rst rename to docs/source/glossary.rst diff --git a/doc/source/index.rst b/docs/source/index.rst similarity index 100% rename from doc/source/index.rst rename to docs/source/index.rst diff --git a/doc/source/instruction_fetch.rst b/docs/source/instruction_fetch.rst similarity index 100% rename from doc/source/instruction_fetch.rst rename to docs/source/instruction_fetch.rst diff --git a/doc/source/instruction_set_extensions.rst b/docs/source/instruction_set_extensions.rst similarity index 100% rename from doc/source/instruction_set_extensions.rst rename to docs/source/instruction_set_extensions.rst diff --git a/doc/source/integration.rst b/docs/source/integration.rst similarity index 100% rename from doc/source/integration.rst rename to docs/source/integration.rst diff --git a/doc/source/intro.rst b/docs/source/intro.rst similarity index 100% rename from doc/source/intro.rst rename to docs/source/intro.rst diff --git a/doc/source/list.issue b/docs/source/list.issue similarity index 100% rename from doc/source/list.issue rename to docs/source/list.issue diff --git a/doc/source/load_store_unit.rst b/docs/source/load_store_unit.rst similarity index 100% rename from doc/source/load_store_unit.rst rename to docs/source/load_store_unit.rst diff --git a/doc/source/perf_counters.rst b/docs/source/perf_counters.rst similarity index 100% rename from doc/source/perf_counters.rst rename to docs/source/perf_counters.rst diff --git a/doc/source/pipeline.rst b/docs/source/pipeline.rst similarity index 100% rename from doc/source/pipeline.rst rename to docs/source/pipeline.rst diff --git a/doc/source/register_file.rst b/docs/source/register_file.rst similarity index 100% rename from doc/source/register_file.rst rename to docs/source/register_file.rst diff --git a/doc/source/sleep.rst b/docs/source/sleep.rst similarity index 100% rename from doc/source/sleep.rst rename to docs/source/sleep.rst diff --git a/doc/source/tracer.rst b/docs/source/tracer.rst similarity index 100% rename from doc/source/tracer.rst rename to docs/source/tracer.rst