diff --git a/README.md b/README.md index bc4ec69..8ac248a 100644 --- a/README.md +++ b/README.md @@ -1 +1,2 @@ # lcls-plc-rixs-optics +Activation Number - 01390265 \ No newline at end of file diff --git a/TLR_BI_01390265_TG_2.TCLRS b/TLR_BI_01390265_TG_2.TCLRS new file mode 100644 index 0000000..89718cd --- /dev/null +++ b/TLR_BI_01390265_TG_2.TCLRS @@ -0,0 +1 @@ +{2BDA9270-9FD1-1DDB-58F3-2743C1D0CE01}2024-09-24T18:55:4252033925a84553ff3a24ab42da1e1d3b165eb81fa1f510dba73d2a31e78115d9c1ba228fbe29a84a36ef061c0f996e62de3a34d85434c26f8f7c3c178fefcdfd5f65fefc09232bcbd6b3ea2689d4d10b5b3ccc3db653401756891c4cc98db81c3379a7675c06a6e1527d35e53d1899310e3794a0fa078cf3be8b572ad2cd65cf0817ab42f7716040ecf6a9378a16f7c8a19cbcb4e23f2b845f07d9e32453ca132cb9dc71cbcbec126fc7387f498d6d742dd164f757d2d8966f003fef346f615ddd189d32c84c6a3f0fec3986b4a47a84b6399b04bd21f7fd395955e38079f53aef9bf144528e1ba99783b39fbb6467e09c7eb16920a7ca8ac5f7fe074f534d18{66689887-CCBD-452C-AC9A-039D997C6E66}TC3 PLCTC12005R5Z5-SRN4L{777F1598-583B-4503-99BB-7C02E0ABD97E}TC3 Controller ToolboxTF4100QRGQ6-6$DRL{520DE751-9DB6-47CB-8240-BD5C466E7E64}TC3 NC PTPTF5000ZRGQ6-S$DXL{BF78CFC7-2E63-42C3-8C07-BB6C346BFB8B}TC3 NC PTP Axes Pack 25TF50105$5Z5-SRDPF{57BD9670-089D-434A-85CF-90A857EE0EFF}TC3 NC PTP Axes Pack unlimitedTF5020D2V28-RMF1F{3EBB9639-5FF3-42B6-8847-35C70DC013C8}TC3 TCP/IPTF6310QRZQ6-6TDXL{BCA6EE0A-9CE1-4D3F-98CA-413ABC0D94FD}TC3 Serial-CommunicationTF6340Q$GQ6-6$NXF{A19036CF-A53B-4E3A-99FF-023EF5C4798B}TC3 NC PTP Axis{3FF18E97-7754-401B-93FB-70544DE28A13}TC3 IOTC1100{4C256767-E6E6-4AF5-BD68-9F7ABAD0C200}TC3 ADSTC1000 \ No newline at end of file diff --git a/TLR_BI_01390265_TG_2.tclrq b/TLR_BI_01390265_TG_2.tclrq new file mode 100644 index 0000000..7e95e6c --- /dev/null +++ b/TLR_BI_01390265_TG_2.tclrq @@ -0,0 +1,64 @@ + + + + + TC/BSD-OS + + 3 + 1 + 4026 + + + 172.21.140.71.1.1 + + + CX5240-0185 + 000s3fyv + 2.3 + 11.5.22 + 9 + + + CX5240-0185 + 13.2.4.3,2 + TwinCAT/BSD + 13.2 + + + + + {2BDA9270-9FD1-1DDB-58F3-2743C1D0CE01} + + 2024-09-24T18:49:00 + + {66689887-CCBD-452C-AC9A-039D997C6E66} + TC3 PLC + TC1200 + + + {520DE751-9DB6-47CB-8240-BD5C466E7E64} + TC3 NC PTP + TF5000 + + + {57BD9670-089D-434A-85CF-90A857EE0EFF} + TC3 NC PTP Axes Pack unlimited + TF5020 + + + {777F1598-583B-4503-99BB-7C02E0ABD97E} + TC3 Controller Toolbox + TF4100 + + + {BCA6EE0A-9CE1-4D3F-98CA-413ABC0D94FD} + TC3 Serial-Communication + TF6340 + + + {3EBB9639-5FF3-42B6-8847-35C70DC013C8} + TC3 TCP/IP + TF6310 + + + diff --git a/lcls-plc-rixs-optics/_Config/PLC/rixs_optics.xti b/lcls-plc-rixs-optics/_Config/PLC/rixs_optics.xti index f5f7602..4d03b8b 100644 --- a/lcls-plc-rixs-optics/_Config/PLC/rixs_optics.xti +++ b/lcls-plc-rixs-optics/_Config/PLC/rixs_optics.xti @@ -1349,7 +1349,7 @@ External Setpoint Generation: - + rixs_optics Instance {08500001-0000-0000-F000-000000000064} @@ -1460,16 +1460,6 @@ External Setpoint Generation: PRG_MR1K1_BEND.bMR1K1_Y_ENC_TxPDO BOOL - - PRG_MR1K1_BEND_BENDER.M1K1BENDbSTOEnable1 - - BOOL - - - PRG_MR1K1_BEND_BENDER.M1K1BENDbSTOEnable2 - BOOL - PRG_MR1K1_BEND.fM1K1_Flow_1.iRaw @@ -1485,6 +1475,133 @@ Emergency Stop for MR1K1]]> INT + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].Axis.NcToPlc + NCTOPLC_AXIS_REF + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].bLimitForwardEnable + + BOOL + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].bLimitBackwardEnable + + BOOL + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].bHome + + BOOL + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].bHardwareEnable + + BOOL + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].nRawEncoderULINT + + ULINT + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].nRawEncoderUINT + + UINT + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].nRawEncoderINT + + INT + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].Axis.NcToPlc + NCTOPLC_AXIS_REF + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].bLimitForwardEnable + + BOOL + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].bLimitBackwardEnable + + BOOL + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].bHome + + BOOL + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].bHardwareEnable + + BOOL + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].nRawEncoderULINT + + ULINT + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].nRawEncoderUINT + + UINT + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].nRawEncoderINT + + INT + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].Axis.NcToPlc + NCTOPLC_AXIS_REF + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].bLimitForwardEnable + + BOOL + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].bLimitBackwardEnable + + BOOL + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].bHome + + BOOL + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].bHardwareEnable + + BOOL + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].nRawEncoderULINT + + ULINT + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].nRawEncoderUINT + + UINT + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].nRawEncoderINT + + INT + + + PRG_MR1K1_BEND_BENDER.M1K1BENDbSTOEnable1 + + BOOL + + + PRG_MR1K1_BEND_BENDER.M1K1BENDbSTOEnable2 + BOOL + PRG_MR1K1_BEND_BENDER.bM1K1US_RTD_1_Err @@ -1560,15 +1677,6 @@ Emergency Stop for MR1K1]]> PRG_MR1K2_SWITCH.fbM1K2PitchControl.fbMotionStage.fbDriveVirtual.MasterAxis.NcToPlc NCTOPLC_AXIS_REF - - PRG_SP1K1_MONO.bSTOEnable1 - - BOOL - - - PRG_SP1K1_MONO.bSTOEnable2 - BOOL - PRG_MR1K2_SWITCH.fbMotionStage_m5.fbDriveVirtual.MasterAxis.NcToPlc NCTOPLC_AXIS_REF @@ -1589,116 +1697,242 @@ Emergency Stop for MR1K1]]> INT - PRG_SP1K1_MONO.fbMotionStage_m_pi.fbDriveVirtual.MasterAxis.NcToPlc + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].Axis.NcToPlc NCTOPLC_AXIS_REF - PRG_SP1K1_MONO.fbMotionStage_g_pi.fbDriveVirtual.MasterAxis.NcToPlc - NCTOPLC_AXIS_REF + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].bLimitForwardEnable + + BOOL - PRG_SP1K1_MONO.fbMotionStage_m_h.fbDriveVirtual.MasterAxis.NcToPlc - NCTOPLC_AXIS_REF + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].bLimitBackwardEnable + + BOOL - PRG_SP1K1_MONO.fbMotionStage_g_h.fbDriveVirtual.MasterAxis.NcToPlc - NCTOPLC_AXIS_REF + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].bHome + + BOOL - PRG_SP1K1_MONO.fbMotionStage_s_r.fbDriveVirtual.MasterAxis.NcToPlc - NCTOPLC_AXIS_REF + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].bHardwareEnable + + BOOL - PRG_SP1K1_MONO.fbMotionStage_s_io.fbDriveVirtual.MasterAxis.NcToPlc - NCTOPLC_AXIS_REF + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].nRawEncoderULINT + + ULINT - PRG_SP1K1_MONO.mpi_upe - ST_RenishawAbsEnc + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].nRawEncoderUINT + + UINT - PRG_SP1K1_MONO.gpi_upe - ST_RenishawAbsEnc + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].nRawEncoderINT + + INT - PRG_SP1K1_MONO.RTD1.bError - BOOL + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].Axis.NcToPlc + NCTOPLC_AXIS_REF - PRG_SP1K1_MONO.RTD1.bUnderrange + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].bLimitForwardEnable + BOOL - PRG_SP1K1_MONO.RTD1.bOverrange + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].bLimitBackwardEnable + BOOL - PRG_SP1K1_MONO.RTD1.iRaw - INT + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].bHome + + BOOL - PRG_SP1K1_MONO.RTD2.bError + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].bHardwareEnable + BOOL - PRG_SP1K1_MONO.RTD2.bUnderrange - BOOL + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].nRawEncoderULINT + + ULINT - PRG_SP1K1_MONO.RTD2.bOverrange - BOOL + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].nRawEncoderUINT + + UINT - PRG_SP1K1_MONO.RTD2.iRaw + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].nRawEncoderINT + INT - PRG_SP1K1_MONO.RTD3.bError - BOOL + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].Axis.NcToPlc + NCTOPLC_AXIS_REF - PRG_SP1K1_MONO.RTD3.bUnderrange + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].bLimitForwardEnable + BOOL - PRG_SP1K1_MONO.RTD3.bOverrange + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].bLimitBackwardEnable + BOOL - PRG_SP1K1_MONO.RTD3.iRaw - INT + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].bHome + + BOOL - PRG_SP1K1_MONO.RTD4.bError + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].bHardwareEnable + BOOL - PRG_SP1K1_MONO.RTD4.bUnderrange - BOOL + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].nRawEncoderULINT + + ULINT - PRG_SP1K1_MONO.RTD4.bOverrange - BOOL + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].nRawEncoderUINT + + UINT - PRG_SP1K1_MONO.RTD4.iRaw + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].nRawEncoderINT + INT - PRG_SP1K1_MONO.RTD5.bError + PRG_SP1K1_MONO.bSTOEnable1 + BOOL - PRG_SP1K1_MONO.RTD5.bUnderrange + PRG_SP1K1_MONO.bSTOEnable2 BOOL - PRG_SP1K1_MONO.RTD5.bOverrange - BOOL + PRG_SP1K1_MONO.fbMotionStage_m_pi.fbDriveVirtual.MasterAxis.NcToPlc + NCTOPLC_AXIS_REF - PRG_SP1K1_MONO.RTD5.iRaw - INT + PRG_SP1K1_MONO.fbMotionStage_g_pi.fbDriveVirtual.MasterAxis.NcToPlc + NCTOPLC_AXIS_REF + + + PRG_SP1K1_MONO.fbMotionStage_m_h.fbDriveVirtual.MasterAxis.NcToPlc + NCTOPLC_AXIS_REF + + + PRG_SP1K1_MONO.fbMotionStage_g_h.fbDriveVirtual.MasterAxis.NcToPlc + NCTOPLC_AXIS_REF + + + PRG_SP1K1_MONO.fbMotionStage_s_r.fbDriveVirtual.MasterAxis.NcToPlc + NCTOPLC_AXIS_REF + + + PRG_SP1K1_MONO.fbMotionStage_s_io.fbDriveVirtual.MasterAxis.NcToPlc + NCTOPLC_AXIS_REF + + + PRG_SP1K1_MONO.mpi_upe + ST_RenishawAbsEnc + + + PRG_SP1K1_MONO.gpi_upe + ST_RenishawAbsEnc + + + PRG_SP1K1_MONO.RTD1.bError + BOOL + + + PRG_SP1K1_MONO.RTD1.bUnderrange + BOOL + + + PRG_SP1K1_MONO.RTD1.bOverrange + BOOL + + + PRG_SP1K1_MONO.RTD1.iRaw + INT + + + PRG_SP1K1_MONO.RTD2.bError + BOOL + + + PRG_SP1K1_MONO.RTD2.bUnderrange + BOOL + + + PRG_SP1K1_MONO.RTD2.bOverrange + BOOL + + + PRG_SP1K1_MONO.RTD2.iRaw + INT + + + PRG_SP1K1_MONO.RTD3.bError + BOOL + + + PRG_SP1K1_MONO.RTD3.bUnderrange + BOOL + + + PRG_SP1K1_MONO.RTD3.bOverrange + BOOL + + + PRG_SP1K1_MONO.RTD3.iRaw + INT + + + PRG_SP1K1_MONO.RTD4.bError + BOOL + + + PRG_SP1K1_MONO.RTD4.bUnderrange + BOOL + + + PRG_SP1K1_MONO.RTD4.bOverrange + BOOL + + + PRG_SP1K1_MONO.RTD4.iRaw + INT + + + PRG_SP1K1_MONO.RTD5.bError + BOOL + + + PRG_SP1K1_MONO.RTD5.bUnderrange + BOOL + + + PRG_SP1K1_MONO.RTD5.bOverrange + BOOL + + + PRG_SP1K1_MONO.RTD5.iRaw + INT PRG_SP1K1_MONO.RTD6.bError @@ -1827,6 +2061,123 @@ Emergency Stop for MR1K1]]> INT + + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].Axis.NcToPlc + NCTOPLC_AXIS_REF + + + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].bLimitForwardEnable + + BOOL + + + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].bLimitBackwardEnable + + BOOL + + + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].bHome + + BOOL + + + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].bHardwareEnable + + BOOL + + + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].nRawEncoderULINT + + ULINT + + + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].nRawEncoderUINT + + UINT + + + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].nRawEncoderINT + + INT + + + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].Axis.NcToPlc + NCTOPLC_AXIS_REF + + + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].bLimitForwardEnable + + BOOL + + + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].bLimitBackwardEnable + + BOOL + + + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].bHome + + BOOL + + + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].bHardwareEnable + + BOOL + + + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].nRawEncoderULINT + + ULINT + + + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].nRawEncoderUINT + + UINT + + + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].nRawEncoderINT + + INT + + + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].Axis.NcToPlc + NCTOPLC_AXIS_REF + + + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].bLimitForwardEnable + + BOOL + + + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].bLimitBackwardEnable + + BOOL + + + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].bHome + + BOOL + + + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].bHardwareEnable + + BOOL + + + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].nRawEncoderULINT + + ULINT + + + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].nRawEncoderUINT + + UINT + + + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].nRawEncoderINT + + INT + PRG_SL1K2_EXIT.fbPitch.fbDriveVirtual.MasterAxis.NcToPlc NCTOPLC_AXIS_REF @@ -1946,6 +2297,15 @@ Emergency Stop for MR1K1]]> PRG_MR2K2_FLAT.M2K2FLATbSTOEnable2 BOOL + + PRG_MR3K2_KBH.bM3K2US_RTD_1_Err + + BOOL + + + PRG_MR3K2_KBH.bM3K2US_RTD_2_Err + BOOL + PRG_MR2K2_FLAT.fbCoolingPanel.fbFlow_1.iRaw @@ -1961,15 +2321,6 @@ Emergency Stop for MR1K1]]> INT - - PRG_MR3K2_KBH.bM3K2US_RTD_1_Err - - BOOL - - - PRG_MR3K2_KBH.bM3K2US_RTD_2_Err - BOOL - PRG_MR3K2_KBH.bM3K2US_RTD_3_Err BOOL @@ -1995,6 +2346,15 @@ Emergency Stop for MR1K1]]> PRG_MR3K2_KBH.M3K2KBHbSTOEnable2 BOOL + + PRG_MR4K2_KBV.bM4K2US_RTD_1_Err + + BOOL + + + PRG_MR4K2_KBV.bM4K2US_RTD_2_Err + BOOL + PRG_MR3K2_KBH.fbCoolingPanel.fbFlow_1.iRaw @@ -2006,56 +2366,15 @@ Emergency Stop for MR1K1]]> INT - PRG_MR4K2_KBV.nM4K2_Chin_Right_RTD.bError + PRG_MR4K2_KBV.bM4K2US_RTD_3_Err BOOL - PRG_MR4K2_KBV.nM4K2_Chin_Right_RTD.bUnderrange + PRG_MR4K2_KBV.bM4K2DS_RTD_1_Err BOOL - PRG_MR4K2_KBV.nM4K2_Chin_Right_RTD.bOverrange - BOOL - - - PRG_MR4K2_KBV.nM4K2_Chin_Right_RTD.iRaw - INT - - - PRG_MR4K2_KBV.nM4K2_Chin_Left_RTD.bError - BOOL - - - PRG_MR4K2_KBV.nM4K2_Chin_Left_RTD.bUnderrange - BOOL - - - PRG_MR4K2_KBV.nM4K2_Chin_Left_RTD.bOverrange - BOOL - - - PRG_MR4K2_KBV.nM4K2_Chin_Left_RTD.iRaw - INT - - - PRG_MR4K2_KBV.bM4K2US_RTD_1_Err - - BOOL - - - PRG_MR4K2_KBV.bM4K2US_RTD_2_Err - BOOL - - - PRG_MR4K2_KBV.bM4K2US_RTD_3_Err - BOOL - - - PRG_MR4K2_KBV.bM4K2DS_RTD_1_Err - BOOL - - - PRG_MR4K2_KBV.bM4K2DS_RTD_2_Err + PRG_MR4K2_KBV.bM4K2DS_RTD_2_Err BOOL @@ -2063,2002 +2382,1683 @@ Emergency Stop for MR1K1]]> BOOL - PRG_MR4K2_KBV.M4K2KBVbSTOEnable1 - - BOOL - - - PRG_MR4K2_KBV.M4K2KBVbSTOEnable2 - BOOL - - - PRG_MR4K2_KBV.fbCoolingPanel.fbFlow_1.iRaw - - INT - - - PRG_MR4K2_KBV.fbCoolingPanel.fbPress_1.iRaw - - INT - - - GVL_M1K1_BENDER_RTD.nM1K1US_RTD_1 - - INT - - - GVL_M1K1_BENDER_RTD.nM1K1US_RTD_2 - INT - - - GVL_M1K1.M1K1_Pitch.diEncCnt - - LINT - - - GVL_M1K1_BENDER_RTD.nM1K1US_RTD_3 - INT - - - GVL_M1K1_BENDER_RTD.nM1K1DS_RTD_1 - - INT - - - GVL_M1K1_BENDER_RTD.nM1K1DS_RTD_2 - INT - - - GVL_M1K1_BENDER_RTD.nM1K1DS_RTD_3 - INT - - - GVL_M1K2.M1K2_Pitch.diEncCnt - - LINT - - - GVL_M3K2.nM3K2US_RTD_1 - - INT - - - GVL_M3K2.nM3K2US_RTD_2 - INT - - - GVL_M3K2.nM3K2US_RTD_3 - INT - - - GVL_M3K2.nM3K2DS_RTD_1 - - INT - - - GVL_M3K2.nM3K2DS_RTD_2 - INT - - - GVL_M3K2.nM3K2DS_RTD_3 - INT - - - GVL_M4K2.nM4K2US_RTD_1 - - INT - - - GVL_M4K2.nM4K2US_RTD_2 - INT - - - GVL_M4K2.nM4K2US_RTD_3 - INT - - - GVL_M4K2.nM4K2DS_RTD_1 - - INT - - - GVL_M4K2.nM4K2DS_RTD_2 - INT - - - GVL_M4K2.nM4K2DS_RTD_3 - INT - - - Main.M1.Axis.NcToPlc - NCTOPLC_AXIS_REF - - - Main.M1.bLimitForwardEnable - - BOOL - - - Main.M1.bLimitBackwardEnable - - BOOL - - - Main.M1.bHome - - BOOL - - - Main.M1.bHardwareEnable - - BOOL - - - Main.M1.nRawEncoderULINT - - ULINT - - - Main.M1.nRawEncoderUINT - - UINT - - - Main.M1.nRawEncoderINT - - INT - - - Main.fbMotionStage_m1.fbDriveVirtual.MasterAxis.NcToPlc - NCTOPLC_AXIS_REF - - - Main.M2.Axis.NcToPlc - NCTOPLC_AXIS_REF - - - Main.M2.bLimitForwardEnable - - BOOL - - - Main.M2.bLimitBackwardEnable - - BOOL - - - Main.M2.bHome - - BOOL - - - Main.M2.bHardwareEnable - - BOOL - - - Main.M2.nRawEncoderULINT - - ULINT - - - Main.M2.nRawEncoderUINT - - UINT - - - Main.M2.nRawEncoderINT - - INT - - - Main.fbMotionStage_m2.fbDriveVirtual.MasterAxis.NcToPlc - NCTOPLC_AXIS_REF - - - Main.M3.Axis.NcToPlc - NCTOPLC_AXIS_REF - - - Main.M3.bLimitForwardEnable - - BOOL - - - Main.M3.bLimitBackwardEnable - - BOOL - - - Main.M3.bHome - - BOOL - - - Main.M3.bHardwareEnable - - BOOL - - - Main.M3.nRawEncoderULINT - - ULINT - - - Main.M3.nRawEncoderUINT - - UINT - - - Main.M3.nRawEncoderINT - - INT - - - Main.fbMotionStage_m3.fbDriveVirtual.MasterAxis.NcToPlc - NCTOPLC_AXIS_REF - - - Main.M4.Axis.NcToPlc - NCTOPLC_AXIS_REF - - - Main.M4.bLimitForwardEnable - - BOOL - - - Main.M4.bLimitBackwardEnable - + PRG_MR4K2_KBV.nM4K2_Chin_Right_RTD.bError BOOL - Main.M4.bHome - + PRG_MR4K2_KBV.nM4K2_Chin_Right_RTD.bUnderrange BOOL - Main.M4.bHardwareEnable - + PRG_MR4K2_KBV.nM4K2_Chin_Right_RTD.bOverrange BOOL - Main.M4.nRawEncoderULINT - - ULINT - - - Main.M4.nRawEncoderUINT - - UINT - - - Main.M4.nRawEncoderINT - + PRG_MR4K2_KBV.nM4K2_Chin_Right_RTD.iRaw INT - Main.fbMotionStage_m4.fbDriveVirtual.MasterAxis.NcToPlc - NCTOPLC_AXIS_REF - - - Main.M5.Axis.NcToPlc - NCTOPLC_AXIS_REF - - - Main.M5.bLimitForwardEnable - - BOOL - - - Main.M5.bLimitBackwardEnable - + PRG_MR4K2_KBV.nM4K2_Chin_Left_RTD.bError BOOL - Main.M5.bHome - + PRG_MR4K2_KBV.nM4K2_Chin_Left_RTD.bUnderrange BOOL - Main.M5.bHardwareEnable - + PRG_MR4K2_KBV.nM4K2_Chin_Left_RTD.bOverrange BOOL - Main.M5.nRawEncoderULINT - - ULINT - - - Main.M5.nRawEncoderUINT - - UINT - - - Main.M5.nRawEncoderINT - + PRG_MR4K2_KBV.nM4K2_Chin_Left_RTD.iRaw INT - Main.M6.Axis.NcToPlc - NCTOPLC_AXIS_REF - - - Main.M6.bLimitForwardEnable - - BOOL - - - Main.M6.bLimitBackwardEnable - - BOOL - - - Main.M6.bHome - - BOOL - - - Main.M6.bHardwareEnable - + PRG_MR4K2_KBV.M4K2KBVbSTOEnable1 + BOOL - Main.M6.nRawEncoderULINT - - ULINT - - - Main.M6.nRawEncoderUINT - - UINT + PRG_MR4K2_KBV.M4K2KBVbSTOEnable2 + BOOL - Main.M6.nRawEncoderINT - + GVL_M1K1_BENDER_RTD.nM1K1US_RTD_1 + INT - Main.M7.bLimitForwardEnable - - BOOL + GVL_M1K1_BENDER_RTD.nM1K1US_RTD_2 + INT - Main.M7.bLimitBackwardEnable - - BOOL + GVL_M1K1_BENDER_RTD.nM1K1US_RTD_3 + INT - Main.M7.bHome - - BOOL + PRG_MR4K2_KBV.fbCoolingPanel.fbFlow_1.iRaw + + INT - Main.M7.bHardwareEnable - - BOOL + PRG_MR4K2_KBV.fbCoolingPanel.fbPress_1.iRaw + + INT - Main.M7.nRawEncoderULINT - - ULINT + GVL_M1K1_BENDER_RTD.nM1K1DS_RTD_1 + + INT - Main.M7.nRawEncoderUINT - - UINT + GVL_M1K1_BENDER_RTD.nM1K1DS_RTD_2 + INT - Main.M7.nRawEncoderINT - - INT + GVL_M1K1.M1K1_Pitch.diEncCnt + + LINT - Main.M8.Axis.NcToPlc - NCTOPLC_AXIS_REF + GVL_M1K1_BENDER_RTD.nM1K1DS_RTD_3 + INT - Main.M8.bLimitForwardEnable - - BOOL + GVL_M3K2.nM3K2US_RTD_1 + + INT - Main.M8.bLimitBackwardEnable - - BOOL + GVL_M3K2.nM3K2US_RTD_2 + INT - Main.M8.bHome - - BOOL + GVL_M3K2.nM3K2US_RTD_3 + INT - Main.M8.bHardwareEnable - - BOOL + GVL_M1K2.M1K2_Pitch.diEncCnt + + LINT - Main.M8.nRawEncoderULINT - - ULINT + GVL_M3K2.nM3K2DS_RTD_1 + + INT - Main.M8.nRawEncoderUINT - - UINT + GVL_M3K2.nM3K2DS_RTD_2 + INT - Main.M8.nRawEncoderINT - + GVL_M3K2.nM3K2DS_RTD_3 INT - Main.M9.Axis.NcToPlc - NCTOPLC_AXIS_REF + GVL_M4K2.nM4K2US_RTD_1 + + INT - Main.M9.bLimitForwardEnable - - BOOL + GVL_M4K2.nM4K2US_RTD_2 + INT - Main.M9.bLimitBackwardEnable - - BOOL + GVL_M4K2.nM4K2US_RTD_3 + INT - Main.M9.bHome - - BOOL + GVL_M4K2.nM4K2DS_RTD_1 + + INT - Main.M9.bHardwareEnable - - BOOL + GVL_M4K2.nM4K2DS_RTD_2 + INT - Main.M9.nRawEncoderULINT - - ULINT + GVL_M4K2.nM4K2DS_RTD_3 + INT - Main.M9.nRawEncoderUINT - + Main.sio_current UINT - Main.M9.nRawEncoderINT - - INT + Main.sio_load + UINT - Main.M10.Axis.NcToPlc + Main.M1.Axis.NcToPlc NCTOPLC_AXIS_REF - Main.M10.bLimitForwardEnable + Main.M1.bLimitForwardEnable BOOL - Main.M10.bLimitBackwardEnable + Main.M1.bLimitBackwardEnable BOOL - Main.M10.bHome + Main.M1.bHome BOOL - Main.M10.bHardwareEnable + Main.M1.bHardwareEnable BOOL - Main.M10.nRawEncoderULINT + Main.M1.nRawEncoderULINT ULINT - Main.M10.nRawEncoderUINT + Main.M1.nRawEncoderUINT UINT - Main.M10.nRawEncoderINT + Main.M1.nRawEncoderINT INT - Main.M11.Axis.NcToPlc + Main.fbMotionStage_m1.fbDriveVirtual.MasterAxis.NcToPlc NCTOPLC_AXIS_REF - Main.M11.bLimitForwardEnable + Main.M2.Axis.NcToPlc + NCTOPLC_AXIS_REF + + + Main.M2.bLimitForwardEnable BOOL - Main.M11.bLimitBackwardEnable + Main.M2.bLimitBackwardEnable BOOL - Main.M11.bHome + Main.M2.bHome BOOL - Main.M11.bHardwareEnable + Main.M2.bHardwareEnable BOOL - Main.M11.nRawEncoderULINT + Main.M2.nRawEncoderULINT ULINT - Main.M11.nRawEncoderUINT + Main.M2.nRawEncoderUINT UINT - Main.M11.nRawEncoderINT + Main.M2.nRawEncoderINT INT - Main.M12.Axis.NcToPlc + Main.fbMotionStage_m2.fbDriveVirtual.MasterAxis.NcToPlc NCTOPLC_AXIS_REF - Main.M12.bLimitForwardEnable + Main.M3.Axis.NcToPlc + NCTOPLC_AXIS_REF + + + Main.M3.bLimitForwardEnable BOOL - Main.M12.bLimitBackwardEnable + Main.M3.bLimitBackwardEnable BOOL - Main.M12.bHome + Main.M3.bHome BOOL - Main.M12.bHardwareEnable + Main.M3.bHardwareEnable BOOL - Main.M12.nRawEncoderULINT + Main.M3.nRawEncoderULINT ULINT - Main.M12.nRawEncoderUINT + Main.M3.nRawEncoderUINT UINT - Main.M12.nRawEncoderINT + Main.M3.nRawEncoderINT INT - Main.fbMotionStage_m12.fbDriveVirtual.MasterAxis.NcToPlc + Main.fbMotionStage_m3.fbDriveVirtual.MasterAxis.NcToPlc NCTOPLC_AXIS_REF - Main.M13.Axis.NcToPlc + Main.M4.Axis.NcToPlc NCTOPLC_AXIS_REF - Main.M13.bLimitForwardEnable + Main.M4.bLimitForwardEnable BOOL - Main.M13.bLimitBackwardEnable + Main.M4.bLimitBackwardEnable BOOL - Main.M13.bHome + Main.M4.bHome BOOL - Main.M13.bHardwareEnable + Main.M4.bHardwareEnable BOOL - Main.M13.nRawEncoderULINT + Main.M4.nRawEncoderULINT ULINT - Main.M13.nRawEncoderUINT + Main.M4.nRawEncoderUINT UINT - Main.M13.nRawEncoderINT + Main.M4.nRawEncoderINT INT - Main.fbMotionStage_m13.fbDriveVirtual.MasterAxis.NcToPlc + Main.fbMotionStage_m4.fbDriveVirtual.MasterAxis.NcToPlc NCTOPLC_AXIS_REF - Main.M14.Axis.NcToPlc + Main.M5.Axis.NcToPlc NCTOPLC_AXIS_REF - Main.M14.bLimitForwardEnable + Main.M5.bLimitForwardEnable BOOL - Main.M14.bLimitBackwardEnable + Main.M5.bLimitBackwardEnable BOOL - Main.M14.bHome + Main.M5.bHome BOOL - Main.M14.bHardwareEnable + Main.M5.bHardwareEnable BOOL - Main.M14.nRawEncoderULINT + Main.M5.nRawEncoderULINT ULINT - Main.M14.nRawEncoderUINT + Main.M5.nRawEncoderUINT UINT - Main.M14.nRawEncoderINT + Main.M5.nRawEncoderINT INT - Main.fbMotionStage_m14.fbDriveVirtual.MasterAxis.NcToPlc - NCTOPLC_AXIS_REF - - - Main.M15.Axis.NcToPlc + Main.M6.Axis.NcToPlc NCTOPLC_AXIS_REF - Main.M15.bLimitForwardEnable + Main.M6.bLimitForwardEnable BOOL - Main.M15.bLimitBackwardEnable + Main.M6.bLimitBackwardEnable BOOL - Main.M15.bHome + Main.M6.bHome BOOL - Main.M15.bHardwareEnable + Main.M6.bHardwareEnable BOOL - Main.M15.nRawEncoderULINT + Main.M6.nRawEncoderULINT ULINT - Main.M15.nRawEncoderUINT + Main.M6.nRawEncoderUINT UINT - Main.M15.nRawEncoderINT + Main.M6.nRawEncoderINT INT - Main.fbMotionStage_m15.fbDriveVirtual.MasterAxis.NcToPlc - NCTOPLC_AXIS_REF - - - Main.M16.Axis.NcToPlc - NCTOPLC_AXIS_REF - - - Main.M16.bLimitForwardEnable + Main.M7.bLimitForwardEnable BOOL - Main.M16.bLimitBackwardEnable + Main.M7.bLimitBackwardEnable BOOL - Main.M16.bHome + Main.M7.bHome BOOL - Main.M16.bHardwareEnable + Main.M7.bHardwareEnable BOOL - Main.M16.nRawEncoderULINT + Main.M7.nRawEncoderULINT ULINT - Main.M16.nRawEncoderUINT + Main.M7.nRawEncoderUINT UINT - Main.M16.nRawEncoderINT + Main.M7.nRawEncoderINT INT - Main.M17.Axis.NcToPlc + Main.M8.Axis.NcToPlc NCTOPLC_AXIS_REF - Main.M17.bLimitForwardEnable + Main.M8.bLimitForwardEnable BOOL - Main.M17.bLimitBackwardEnable + Main.M8.bLimitBackwardEnable BOOL - Main.M17.bHome + Main.M8.bHome BOOL - Main.M17.bHardwareEnable + Main.M8.bHardwareEnable BOOL - Main.M17.nRawEncoderULINT + Main.M8.nRawEncoderULINT ULINT - Main.M17.nRawEncoderUINT + Main.M8.nRawEncoderUINT UINT - Main.M17.nRawEncoderINT + Main.M8.nRawEncoderINT INT - Main.fbMotionStage_m17.fbDriveVirtual.MasterAxis.NcToPlc - NCTOPLC_AXIS_REF - - - Main.M18.Axis.NcToPlc + Main.M9.Axis.NcToPlc NCTOPLC_AXIS_REF - Main.M18.bLimitForwardEnable + Main.M9.bLimitForwardEnable BOOL - Main.M18.bLimitBackwardEnable + Main.M9.bLimitBackwardEnable BOOL - Main.M18.bHome + Main.M9.bHome BOOL - Main.M18.bHardwareEnable + Main.M9.bHardwareEnable BOOL - Main.M18.nRawEncoderULINT + Main.M9.nRawEncoderULINT ULINT - Main.M18.nRawEncoderUINT + Main.M9.nRawEncoderUINT UINT - Main.M18.nRawEncoderINT + Main.M9.nRawEncoderINT INT - Main.fbMotionStage_m18.fbDriveVirtual.MasterAxis.NcToPlc - NCTOPLC_AXIS_REF - - - Main.M19.Axis.NcToPlc + Main.M10.Axis.NcToPlc NCTOPLC_AXIS_REF - Main.M19.bLimitForwardEnable + Main.M10.bLimitForwardEnable BOOL - Main.M19.bLimitBackwardEnable + Main.M10.bLimitBackwardEnable BOOL - Main.M19.bHome + Main.M10.bHome BOOL - Main.M19.bHardwareEnable + Main.M10.bHardwareEnable BOOL - Main.M19.nRawEncoderULINT + Main.M10.nRawEncoderULINT ULINT - Main.M19.nRawEncoderUINT + Main.M10.nRawEncoderUINT UINT - Main.M19.nRawEncoderINT + Main.M10.nRawEncoderINT INT - Main.M20.Axis.NcToPlc + Main.M11.Axis.NcToPlc NCTOPLC_AXIS_REF - Main.M20.bLimitForwardEnable + Main.M11.bLimitForwardEnable BOOL - Main.M20.bLimitBackwardEnable + Main.M11.bLimitBackwardEnable BOOL - Main.M20.bHome + Main.M11.bHome BOOL - Main.M20.bHardwareEnable + Main.M11.bHardwareEnable BOOL - Main.M20.nRawEncoderULINT + Main.M11.nRawEncoderULINT ULINT - Main.M20.nRawEncoderUINT + Main.M11.nRawEncoderUINT UINT - Main.M20.nRawEncoderINT + Main.M11.nRawEncoderINT INT - Main.M21.Axis.NcToPlc + Main.M12.Axis.NcToPlc NCTOPLC_AXIS_REF - Main.M21.bLimitForwardEnable + Main.M12.bLimitForwardEnable BOOL - Main.M21.bLimitBackwardEnable + Main.M12.bLimitBackwardEnable BOOL - Main.M21.bHome + Main.M12.bHome BOOL - Main.M21.bHardwareEnable + Main.M12.bHardwareEnable BOOL - Main.M21.nRawEncoderULINT + Main.M12.nRawEncoderULINT ULINT - Main.M21.nRawEncoderUINT + Main.M12.nRawEncoderUINT UINT - Main.M21.nRawEncoderINT + Main.M12.nRawEncoderINT INT - Main.M22.Axis.NcToPlc + Main.fbMotionStage_m12.fbDriveVirtual.MasterAxis.NcToPlc NCTOPLC_AXIS_REF - Main.M22.bLimitForwardEnable + Main.M13.Axis.NcToPlc + NCTOPLC_AXIS_REF + + + Main.M13.bLimitForwardEnable BOOL - Main.M22.bLimitBackwardEnable + Main.M13.bLimitBackwardEnable BOOL - Main.M22.bHome + Main.M13.bHome BOOL - Main.M22.bHardwareEnable + Main.M13.bHardwareEnable BOOL - Main.M22.nRawEncoderULINT + Main.M13.nRawEncoderULINT ULINT - Main.M22.nRawEncoderUINT + Main.M13.nRawEncoderUINT UINT - Main.M22.nRawEncoderINT + Main.M13.nRawEncoderINT INT - Main.M23.Axis.NcToPlc + Main.fbMotionStage_m13.fbDriveVirtual.MasterAxis.NcToPlc NCTOPLC_AXIS_REF - Main.M23.bLimitForwardEnable + Main.M14.Axis.NcToPlc + NCTOPLC_AXIS_REF + + + Main.M14.bLimitForwardEnable BOOL - Main.M23.bLimitBackwardEnable + Main.M14.bLimitBackwardEnable BOOL - Main.M23.bHome + Main.M14.bHome BOOL - Main.M23.bHardwareEnable + Main.M14.bHardwareEnable BOOL - Main.M23.nRawEncoderULINT + Main.M14.nRawEncoderULINT ULINT - Main.M23.nRawEncoderUINT + Main.M14.nRawEncoderUINT UINT - Main.M23.nRawEncoderINT + Main.M14.nRawEncoderINT INT - Main.M24.Axis.NcToPlc + Main.fbMotionStage_m14.fbDriveVirtual.MasterAxis.NcToPlc NCTOPLC_AXIS_REF - Main.M24.bLimitForwardEnable + Main.M15.Axis.NcToPlc + NCTOPLC_AXIS_REF + + + Main.M15.bLimitForwardEnable BOOL - Main.M24.bLimitBackwardEnable + Main.M15.bLimitBackwardEnable BOOL - Main.M24.bHome + Main.M15.bHome BOOL - Main.M24.bHardwareEnable + Main.M15.bHardwareEnable BOOL - Main.M24.nRawEncoderULINT + Main.M15.nRawEncoderULINT ULINT - Main.M24.nRawEncoderUINT + Main.M15.nRawEncoderUINT UINT - Main.M24.nRawEncoderINT + Main.M15.nRawEncoderINT INT - Main.M25.Axis.NcToPlc + Main.fbMotionStage_m15.fbDriveVirtual.MasterAxis.NcToPlc NCTOPLC_AXIS_REF - Main.M25.bLimitForwardEnable + Main.M16.Axis.NcToPlc + NCTOPLC_AXIS_REF + + + Main.M16.bLimitForwardEnable BOOL - Main.M25.bLimitBackwardEnable + Main.M16.bLimitBackwardEnable BOOL - Main.M25.bHome + Main.M16.bHome BOOL - Main.M25.bHardwareEnable + Main.M16.bHardwareEnable BOOL - Main.M25.nRawEncoderULINT + Main.M16.nRawEncoderULINT ULINT - Main.M25.nRawEncoderUINT + Main.M16.nRawEncoderUINT UINT - Main.M25.nRawEncoderINT + Main.M16.nRawEncoderINT INT - Main.fbMotionStageM25.fbDriveVirtual.MasterAxis.NcToPlc - NCTOPLC_AXIS_REF - - - Main.M26.Axis.NcToPlc + Main.M17.Axis.NcToPlc NCTOPLC_AXIS_REF - Main.M26.bLimitForwardEnable + Main.M17.bLimitForwardEnable BOOL - Main.M26.bLimitBackwardEnable + Main.M17.bLimitBackwardEnable BOOL - Main.M26.bHome + Main.M17.bHome BOOL - Main.M26.bHardwareEnable + Main.M17.bHardwareEnable BOOL - Main.M26.nRawEncoderULINT + Main.M17.nRawEncoderULINT ULINT - Main.M26.nRawEncoderUINT + Main.M17.nRawEncoderUINT UINT - Main.M26.nRawEncoderINT + Main.M17.nRawEncoderINT INT - Main.fbMotionStageM26.fbDriveVirtual.MasterAxis.NcToPlc + Main.fbMotionStage_m17.fbDriveVirtual.MasterAxis.NcToPlc NCTOPLC_AXIS_REF - Main.M27.Axis.NcToPlc + Main.M18.Axis.NcToPlc NCTOPLC_AXIS_REF - Main.M27.bLimitForwardEnable + Main.M18.bLimitForwardEnable BOOL - Main.M27.bLimitBackwardEnable + Main.M18.bLimitBackwardEnable BOOL - Main.M27.bHome + Main.M18.bHome BOOL - Main.M27.bHardwareEnable + Main.M18.bHardwareEnable BOOL - Main.M27.nRawEncoderULINT + Main.M18.nRawEncoderULINT ULINT - Main.M27.nRawEncoderUINT + Main.M18.nRawEncoderUINT UINT - Main.M27.nRawEncoderINT + Main.M18.nRawEncoderINT INT - Main.fbMotionStageM27.fbDriveVirtual.MasterAxis.NcToPlc + Main.fbMotionStage_m18.fbDriveVirtual.MasterAxis.NcToPlc NCTOPLC_AXIS_REF - Main.M28.Axis.NcToPlc + Main.M19.Axis.NcToPlc NCTOPLC_AXIS_REF - Main.M28.bLimitForwardEnable + Main.M19.bLimitForwardEnable BOOL - Main.M28.bLimitBackwardEnable + Main.M19.bLimitBackwardEnable BOOL - Main.M28.bHome + Main.M19.bHome BOOL - Main.M28.bHardwareEnable + Main.M19.bHardwareEnable BOOL - Main.M28.nRawEncoderULINT + Main.M19.nRawEncoderULINT ULINT - Main.M28.nRawEncoderUINT + Main.M19.nRawEncoderUINT UINT - Main.M28.nRawEncoderINT + Main.M19.nRawEncoderINT INT - Main.fbMotionStageM28.fbDriveVirtual.MasterAxis.NcToPlc - NCTOPLC_AXIS_REF - - - Main.M29.Axis.NcToPlc + Main.M20.Axis.NcToPlc NCTOPLC_AXIS_REF - Main.M29.bLimitForwardEnable + Main.M20.bLimitForwardEnable BOOL - Main.M29.bLimitBackwardEnable + Main.M20.bLimitBackwardEnable BOOL - Main.M29.bHome + Main.M20.bHome BOOL - Main.M29.bHardwareEnable + Main.M20.bHardwareEnable BOOL - Main.M29.nRawEncoderULINT + Main.M20.nRawEncoderULINT ULINT - Main.M29.nRawEncoderUINT + Main.M20.nRawEncoderUINT UINT - Main.M29.nRawEncoderINT + Main.M20.nRawEncoderINT INT - Main.fbMotionStageM29.fbDriveVirtual.MasterAxis.NcToPlc - NCTOPLC_AXIS_REF - - - Main.M30.Axis.NcToPlc + Main.M21.Axis.NcToPlc NCTOPLC_AXIS_REF - Main.M30.bLimitForwardEnable + Main.M21.bLimitForwardEnable BOOL - Main.M30.bLimitBackwardEnable + Main.M21.bLimitBackwardEnable BOOL - Main.M30.bHome + Main.M21.bHome BOOL - Main.M30.bHardwareEnable + Main.M21.bHardwareEnable BOOL - Main.M30.nRawEncoderULINT + Main.M21.nRawEncoderULINT ULINT - Main.M30.nRawEncoderUINT + Main.M21.nRawEncoderUINT UINT - Main.M30.nRawEncoderINT + Main.M21.nRawEncoderINT INT - Main.fbMotionStageM30.fbDriveVirtual.MasterAxis.NcToPlc - NCTOPLC_AXIS_REF - - - Main.M31.Axis.NcToPlc + Main.M22.Axis.NcToPlc NCTOPLC_AXIS_REF - Main.M31.bLimitForwardEnable + Main.M22.bLimitForwardEnable BOOL - Main.M31.bLimitBackwardEnable + Main.M22.bLimitBackwardEnable BOOL - Main.M31.bHome + Main.M22.bHome BOOL - Main.M31.bHardwareEnable + Main.M22.bHardwareEnable BOOL - Main.M31.nRawEncoderULINT + Main.M22.nRawEncoderULINT ULINT - Main.M31.nRawEncoderUINT + Main.M22.nRawEncoderUINT UINT - Main.M31.nRawEncoderINT + Main.M22.nRawEncoderINT INT - Main.fbMotionStageM31.fbDriveVirtual.MasterAxis.NcToPlc - NCTOPLC_AXIS_REF - - - Main.M32.Axis.NcToPlc + Main.M23.Axis.NcToPlc NCTOPLC_AXIS_REF - Main.M32.bLimitForwardEnable + Main.M23.bLimitForwardEnable BOOL - Main.M32.bLimitBackwardEnable + Main.M23.bLimitBackwardEnable BOOL - Main.M32.bHome + Main.M23.bHome BOOL - Main.M32.bHardwareEnable + Main.M23.bHardwareEnable BOOL - Main.M32.nRawEncoderULINT + Main.M23.nRawEncoderULINT ULINT - Main.M32.nRawEncoderUINT + Main.M23.nRawEncoderUINT UINT - Main.M32.nRawEncoderINT + Main.M23.nRawEncoderINT INT - Main.fbMotionStageM32.fbDriveVirtual.MasterAxis.NcToPlc - NCTOPLC_AXIS_REF - - - Main.M33.Axis.NcToPlc + Main.M24.Axis.NcToPlc NCTOPLC_AXIS_REF - Main.M33.bLimitForwardEnable + Main.M24.bLimitForwardEnable BOOL - Main.M33.bLimitBackwardEnable + Main.M24.bLimitBackwardEnable BOOL - Main.M33.bHome + Main.M24.bHome BOOL - Main.M33.bHardwareEnable + Main.M24.bHardwareEnable BOOL - Main.M33.nRawEncoderULINT + Main.M24.nRawEncoderULINT ULINT - Main.M33.nRawEncoderUINT + Main.M24.nRawEncoderUINT UINT - Main.M33.nRawEncoderINT + Main.M24.nRawEncoderINT INT - Main.fbMotionStageM33.fbDriveVirtual.MasterAxis.NcToPlc - NCTOPLC_AXIS_REF - - - Main.M34.Axis.NcToPlc + Main.M25.Axis.NcToPlc NCTOPLC_AXIS_REF - Main.M34.bLimitForwardEnable + Main.M25.bLimitForwardEnable BOOL - Main.M34.bLimitBackwardEnable + Main.M25.bLimitBackwardEnable BOOL - Main.M34.bHome + Main.M25.bHome BOOL - Main.M34.bHardwareEnable + Main.M25.bHardwareEnable BOOL - Main.M34.nRawEncoderULINT + Main.M25.nRawEncoderULINT ULINT - Main.M34.nRawEncoderUINT + Main.M25.nRawEncoderUINT UINT - Main.M34.nRawEncoderINT + Main.M25.nRawEncoderINT INT - Main.fbMotionStageM34.fbDriveVirtual.MasterAxis.NcToPlc + Main.fbMotionStageM25.fbDriveVirtual.MasterAxis.NcToPlc NCTOPLC_AXIS_REF - Main.M35.Axis.NcToPlc + Main.M26.Axis.NcToPlc NCTOPLC_AXIS_REF - Main.M35.bLimitForwardEnable + Main.M26.bLimitForwardEnable BOOL - Main.M35.bLimitBackwardEnable + Main.M26.bLimitBackwardEnable BOOL - Main.M35.bHome + Main.M26.bHome BOOL - Main.M35.bHardwareEnable + Main.M26.bHardwareEnable BOOL - Main.M35.nRawEncoderULINT + Main.M26.nRawEncoderULINT ULINT - Main.M35.nRawEncoderUINT + Main.M26.nRawEncoderUINT UINT - Main.M35.nRawEncoderINT + Main.M26.nRawEncoderINT INT - Main.fbMotionStageM35.fbDriveVirtual.MasterAxis.NcToPlc + Main.fbMotionStageM26.fbDriveVirtual.MasterAxis.NcToPlc NCTOPLC_AXIS_REF - Main.M36.Axis.NcToPlc + Main.M27.Axis.NcToPlc NCTOPLC_AXIS_REF - Main.M36.bLimitForwardEnable + Main.M27.bLimitForwardEnable BOOL - Main.M36.bLimitBackwardEnable + Main.M27.bLimitBackwardEnable BOOL - Main.M36.bHome + Main.M27.bHome BOOL - Main.M36.bHardwareEnable + Main.M27.bHardwareEnable BOOL - Main.M36.nRawEncoderULINT + Main.M27.nRawEncoderULINT ULINT - Main.M36.nRawEncoderUINT + Main.M27.nRawEncoderUINT UINT - Main.M36.nRawEncoderINT + Main.M27.nRawEncoderINT INT - Main.fbMotionStageM36.fbDriveVirtual.MasterAxis.NcToPlc + Main.fbMotionStageM27.fbDriveVirtual.MasterAxis.NcToPlc NCTOPLC_AXIS_REF - Main.M37.Axis.NcToPlc + Main.M28.Axis.NcToPlc NCTOPLC_AXIS_REF - Main.M37.bLimitForwardEnable + Main.M28.bLimitForwardEnable BOOL - Main.M37.bLimitBackwardEnable + Main.M28.bLimitBackwardEnable BOOL - Main.M37.bHome + Main.M28.bHome BOOL - Main.M37.bHardwareEnable + Main.M28.bHardwareEnable BOOL - Main.M37.nRawEncoderULINT + Main.M28.nRawEncoderULINT ULINT - Main.M37.nRawEncoderUINT + Main.M28.nRawEncoderUINT UINT - Main.M37.nRawEncoderINT + Main.M28.nRawEncoderINT INT - Main.fbMotionStageM37.fbDriveVirtual.MasterAxis.NcToPlc + Main.fbMotionStageM28.fbDriveVirtual.MasterAxis.NcToPlc NCTOPLC_AXIS_REF - Main.sio_current - UINT - - - Main.sio_load - UINT - - - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].Axis.NcToPlc + Main.M29.Axis.NcToPlc NCTOPLC_AXIS_REF - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].bLimitForwardEnable + Main.M29.bLimitForwardEnable BOOL - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].bLimitBackwardEnable + Main.M29.bLimitBackwardEnable BOOL - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].bHome + Main.M29.bHome BOOL - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].bHardwareEnable + Main.M29.bHardwareEnable BOOL - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].nRawEncoderULINT + Main.M29.nRawEncoderULINT ULINT - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].nRawEncoderUINT + Main.M29.nRawEncoderUINT UINT - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].nRawEncoderINT + Main.M29.nRawEncoderINT INT - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].Axis.NcToPlc + Main.fbMotionStageM29.fbDriveVirtual.MasterAxis.NcToPlc NCTOPLC_AXIS_REF - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].bLimitForwardEnable + Main.M30.Axis.NcToPlc + NCTOPLC_AXIS_REF + + + Main.M30.bLimitForwardEnable BOOL - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].bLimitBackwardEnable + Main.M30.bLimitBackwardEnable BOOL - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].bHome + Main.M30.bHome BOOL - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].bHardwareEnable + Main.M30.bHardwareEnable BOOL - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].nRawEncoderULINT + Main.M30.nRawEncoderULINT ULINT - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].nRawEncoderUINT + Main.M30.nRawEncoderUINT UINT - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].nRawEncoderINT + Main.M30.nRawEncoderINT INT - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].Axis.NcToPlc + Main.fbMotionStageM30.fbDriveVirtual.MasterAxis.NcToPlc NCTOPLC_AXIS_REF - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].bLimitForwardEnable + Main.M31.Axis.NcToPlc + NCTOPLC_AXIS_REF + + + Main.M31.bLimitForwardEnable BOOL - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].bLimitBackwardEnable + Main.M31.bLimitBackwardEnable BOOL - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].bHome + Main.M31.bHome BOOL - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].bHardwareEnable + Main.M31.bHardwareEnable BOOL - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].nRawEncoderULINT + Main.M31.nRawEncoderULINT ULINT - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].nRawEncoderUINT + Main.M31.nRawEncoderUINT UINT - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].nRawEncoderINT + Main.M31.nRawEncoderINT INT - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].Axis.NcToPlc + Main.fbMotionStageM31.fbDriveVirtual.MasterAxis.NcToPlc NCTOPLC_AXIS_REF - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].bLimitForwardEnable + Main.M32.Axis.NcToPlc + NCTOPLC_AXIS_REF + + + Main.M32.bLimitForwardEnable BOOL - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].bLimitBackwardEnable + Main.M32.bLimitBackwardEnable BOOL - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].bHome + Main.M32.bHome BOOL - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].bHardwareEnable + Main.M32.bHardwareEnable BOOL - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].nRawEncoderULINT + Main.M32.nRawEncoderULINT ULINT - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].nRawEncoderUINT + Main.M32.nRawEncoderUINT UINT - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].nRawEncoderINT + Main.M32.nRawEncoderINT INT - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].Axis.NcToPlc + Main.fbMotionStageM32.fbDriveVirtual.MasterAxis.NcToPlc NCTOPLC_AXIS_REF - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].bLimitForwardEnable + Main.M33.Axis.NcToPlc + NCTOPLC_AXIS_REF + + + Main.M33.bLimitForwardEnable BOOL - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].bLimitBackwardEnable + Main.M33.bLimitBackwardEnable BOOL - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].bHome + Main.M33.bHome BOOL - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].bHardwareEnable + Main.M33.bHardwareEnable BOOL - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].nRawEncoderULINT + Main.M33.nRawEncoderULINT ULINT - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].nRawEncoderUINT + Main.M33.nRawEncoderUINT UINT - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].nRawEncoderINT + Main.M33.nRawEncoderINT INT - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].Axis.NcToPlc + Main.fbMotionStageM33.fbDriveVirtual.MasterAxis.NcToPlc + NCTOPLC_AXIS_REF + + + Main.M34.Axis.NcToPlc NCTOPLC_AXIS_REF - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].bLimitForwardEnable + Main.M34.bLimitForwardEnable BOOL - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].bLimitBackwardEnable + Main.M34.bLimitBackwardEnable BOOL - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].bHome + Main.M34.bHome BOOL - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].bHardwareEnable + Main.M34.bHardwareEnable BOOL - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].nRawEncoderULINT + Main.M34.nRawEncoderULINT ULINT - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].nRawEncoderUINT + Main.M34.nRawEncoderUINT UINT - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].nRawEncoderINT + Main.M34.nRawEncoderINT INT - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].Axis.NcToPlc + Main.fbMotionStageM34.fbDriveVirtual.MasterAxis.NcToPlc NCTOPLC_AXIS_REF - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].bLimitForwardEnable + Main.M35.Axis.NcToPlc + NCTOPLC_AXIS_REF + + + Main.M35.bLimitForwardEnable BOOL - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].bLimitBackwardEnable + Main.M35.bLimitBackwardEnable BOOL - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].bHome + Main.M35.bHome BOOL - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].bHardwareEnable + Main.M35.bHardwareEnable BOOL - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].nRawEncoderULINT + Main.M35.nRawEncoderULINT ULINT - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].nRawEncoderUINT + Main.M35.nRawEncoderUINT UINT - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].nRawEncoderINT + Main.M35.nRawEncoderINT INT - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].Axis.NcToPlc + Main.fbMotionStageM35.fbDriveVirtual.MasterAxis.NcToPlc NCTOPLC_AXIS_REF - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].bLimitForwardEnable + Main.M36.Axis.NcToPlc + NCTOPLC_AXIS_REF + + + Main.M36.bLimitForwardEnable BOOL - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].bLimitBackwardEnable + Main.M36.bLimitBackwardEnable BOOL - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].bHome + Main.M36.bHome BOOL - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].bHardwareEnable + Main.M36.bHardwareEnable BOOL - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].nRawEncoderULINT + Main.M36.nRawEncoderULINT ULINT - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].nRawEncoderUINT + Main.M36.nRawEncoderUINT UINT - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].nRawEncoderINT + Main.M36.nRawEncoderINT INT - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].Axis.NcToPlc + Main.fbMotionStageM36.fbDriveVirtual.MasterAxis.NcToPlc NCTOPLC_AXIS_REF - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].bLimitForwardEnable + Main.M37.Axis.NcToPlc + NCTOPLC_AXIS_REF + + + Main.M37.bLimitForwardEnable BOOL - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].bLimitBackwardEnable + Main.M37.bLimitBackwardEnable BOOL - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].bHome + Main.M37.bHome BOOL - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].bHardwareEnable + Main.M37.bHardwareEnable BOOL - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].nRawEncoderULINT + Main.M37.nRawEncoderULINT ULINT - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].nRawEncoderUINT + Main.M37.nRawEncoderUINT UINT - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].nRawEncoderINT + Main.M37.nRawEncoderINT INT + + Main.fbMotionStageM37.fbDriveVirtual.MasterAxis.NcToPlc + NCTOPLC_AXIS_REF + PlcTask Outputs @@ -4066,10 +4066,6 @@ Emergency Stop for MR1K1]]> PRG_MR1K1_BEND.fbM1K1PitchControl.fbMotionStage.fbDriveVirtual.MasterAxis.PlcToNc PLCTONC_AXIS_REF - - PRG_MR1K1_BEND.fbMotionStage_m16.fbDriveVirtual.MasterAxis.PlcToNc - PLCTONC_AXIS_REF - PRG_MR1K1_BEND.bLEDPower01 @@ -4079,6 +4075,10 @@ Emergency Stop for MR1K1]]> PRG_MR1K1_BEND.bLEDPower02 BOOL + + PRG_MR1K1_BEND.fbMotionStage_m16.fbDriveVirtual.MasterAxis.PlcToNc + PLCTONC_AXIS_REF + PRG_MR1K1_BEND.bLEDPower03 BOOL @@ -4092,6 +4092,33 @@ Emergency Stop for MR1K1]]> UDINT + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].Axis.PlcToNc + PLCTONC_AXIS_REF + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].bBrakeRelease + + BOOL + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].Axis.PlcToNc + PLCTONC_AXIS_REF + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].bBrakeRelease + + BOOL + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].Axis.PlcToNc + PLCTONC_AXIS_REF + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].bBrakeRelease + + BOOL + PRG_MR1K2_SWITCH.fbM1K2PitchControl.fbMotionStage.fbDriveVirtual.MasterAxis.PlcToNc PLCTONC_AXIS_REF @@ -4100,6 +4127,33 @@ Emergency Stop for MR1K1]]> PRG_MR1K2_SWITCH.fbMotionStage_m5.fbDriveVirtual.MasterAxis.PlcToNc PLCTONC_AXIS_REF + + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].Axis.PlcToNc + PLCTONC_AXIS_REF + + + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].bBrakeRelease + + BOOL + + + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].Axis.PlcToNc + PLCTONC_AXIS_REF + + + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].bBrakeRelease + + BOOL + + + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].Axis.PlcToNc + PLCTONC_AXIS_REF + + + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].bBrakeRelease + + BOOL + PRG_SP1K1_MONO.bLEDPower01 BOOL @@ -4140,6 +4194,37 @@ Emergency Stop for MR1K1]]> PRG_SP1K1_MONO.fbMotionStage_s_io.fbDriveVirtual.MasterAxis.PlcToNc PLCTONC_AXIS_REF + + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].Axis.PlcToNc + PLCTONC_AXIS_REF + + + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].bBrakeRelease + + BOOL + + + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].Axis.PlcToNc + PLCTONC_AXIS_REF + + + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].bBrakeRelease + + BOOL + + + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].Axis.PlcToNc + PLCTONC_AXIS_REF + + + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].bBrakeRelease + + BOOL + + + PRG_SL1K2_EXIT.bLEDPower + BOOL + PRG_SL1K2_EXIT.fbPitch.fbDriveVirtual.MasterAxis.PlcToNc PLCTONC_AXIS_REF @@ -4160,10 +4245,6 @@ Emergency Stop for MR1K1]]> PRG_SL1K2_EXIT.fbYag.fbDriveVirtual.MasterAxis.PlcToNc PLCTONC_AXIS_REF - - PRG_SL1K2_EXIT.bLEDPower - BOOL - PRG_SL1K2_EXIT.fbGige.iIlluminatorINT INT @@ -4618,87 +4699,6 @@ Emergency Stop for MR1K1]]> Main.fbMotionStageM37.fbDriveVirtual.MasterAxis.PlcToNc PLCTONC_AXIS_REF - - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].Axis.PlcToNc - PLCTONC_AXIS_REF - - - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].bBrakeRelease - - BOOL - - - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].Axis.PlcToNc - PLCTONC_AXIS_REF - - - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].bBrakeRelease - - BOOL - - - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].Axis.PlcToNc - PLCTONC_AXIS_REF - - - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].bBrakeRelease - - BOOL - - - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].Axis.PlcToNc - PLCTONC_AXIS_REF - - - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].bBrakeRelease - - BOOL - - - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].Axis.PlcToNc - PLCTONC_AXIS_REF - - - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].bBrakeRelease - - BOOL - - - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].Axis.PlcToNc - PLCTONC_AXIS_REF - - - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].bBrakeRelease - - BOOL - - - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].Axis.PlcToNc - PLCTONC_AXIS_REF - - - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].bBrakeRelease - - BOOL - - - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].Axis.PlcToNc - PLCTONC_AXIS_REF - - - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].bBrakeRelease - - BOOL - - - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].Axis.PlcToNc - PLCTONC_AXIS_REF - - - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].bBrakeRelease - - BOOL - PlcTask Retains diff --git a/lcls-plc-rixs-optics/lcls-plc-rixs-optics.tsproj b/lcls-plc-rixs-optics/lcls-plc-rixs-optics.tsproj index d51179b..8b2feaa 100644 --- a/lcls-plc-rixs-optics/lcls-plc-rixs-optics.tsproj +++ b/lcls-plc-rixs-optics/lcls-plc-rixs-optics.tsproj @@ -9,10 +9,10 @@ - - {BF78CFC7-2E63-42C3-8C07-BB6C346BFB8B} + + {57BD9670-089D-434A-85CF-90A857EE0EFF} {3EBB9639-5FF3-42B6-8847-35C70DC013C8} - {BF78CFC7-2E63-42C3-8C07-BB6C346BFB8B} + {57BD9670-089D-434A-85CF-90A857EE0EFF} {BCA6EE0A-9CE1-4D3F-98CA-413ABC0D94FD} {66689887-CCBD-452C-AC9A-039D997C6E66} {3EBB9639-5FF3-42B6-8847-35C70DC013C8} diff --git a/lcls-plc-rixs-optics/rixs_optics/rixs_optics.tmc b/lcls-plc-rixs-optics/rixs_optics/rixs_optics.tmc index c35ac21..8045293 100644 --- a/lcls-plc-rixs-optics/rixs_optics/rixs_optics.tmc +++ b/lcls-plc-rixs-optics/rixs_optics/rixs_optics.tmc @@ -1,5 +1,5 @@ - + ComSerialLineMode_t @@ -10419,31 +10419,31 @@ External Setpoint Generation: bBusy BOOL 8 - 163053400 + 163720008 bError BOOL 8 - 163053472 + 163720080 hrErrorCode HRESULT 32 - 163053488 + 163720096 nStringSize UDINT 32 - 163053448 + 163720056 sResult STRING(255) 2048 - 163053480 + 163720088 TcEncoding @@ -11695,15 +11695,15 @@ External Setpoint Generation: nId UDINT 32 - 163053272 - 163053320 + 163719880 + 163719928 sName STRING(255) 2048 - 163053352 - 163053376 + 163719960 + 163719984 TcEncoding @@ -11944,31 +11944,31 @@ External Setpoint Generation: eSeverity TcEventSeverity 16 - 163053584 + 163720192 ipSourceInfo I_TcSourceInfo 64 - 163053544 + 163720152 nEventId UDINT 32 - 163053720 + 163720328 nUniqueId UDINT 32 - 163053728 + 163720336 sEventClassName STRING(255) 2048 - 163053640 + 163720248 TcEncoding @@ -11980,7 +11980,7 @@ External Setpoint Generation: sEventText STRING(255) 2048 - 163053736 + 163720344 TcEncoding @@ -12573,7 +12573,7 @@ External Setpoint Generation: nTimeSent ULINT 64 - 163053792 + 163720400 TcDisplayTypeGUID @@ -27356,46709 +27356,29611 @@ contributing fast faults, unless the FFO is currently vetoed. - E_MR1K1_States + E_IPCDiag_ModuleType 16 - UINT - - Unknown - 0 - + WORD - B4C + AccessControl 1 - OUT + NIC 2 + + Time_ + 3 + + + UserManagement + 4 + + + RAS + 5 + + + FTP + 6 + + + SMB + 7 + + + TwinCAT + 8 + + + Datastore + 9 + + + Software + 10 + + + CPU + 11 + + + Memory + 12 + + + Firewall + 14 + + + FileSystemObject + 16 + + + PLC + 18 + + + DisplayDevice + 19 + + + EWF + 20 + + + FBWF + 21 + + + SiliconDrive + 23 + + + OS + 24 + + + Raid + 25 + + + Fan + 27 + + + Mainboard + 28 + + + DiskManagement + 29 + + + UPS + 30 + + + PhysicalDrive + 31 + + + MassStorage + 32 + + + UWF + 33 + + + Misc + 256 + qualified_only - - strict - - - generate_implicit_init_function - - ST_PMPS_Attenuator_IO - 64 + ST_IPCDiag_Module + 48 - nTran - REAL - 32 + eModType + E_IPCDiag_ModuleType + 16 0 - xAttOK - UINT - 16 + nModId + BYTE + dynamically created module id + 8 + 16 + + + nModIdx + USINT + 1= first instance of this module type (= default) + 8 + 24 + + + nModCnt + USINT + quantity of instances of this module type in the module list + 8 32 - - - - - - - - - - - - ST_PMPS_Attenuator - 64 - ST_PMPS_Attenuator_IO - - - ST_PMPS_Aperture_IO - 96 + ST_IPCDiag_ModuleList + list of modules of the configuration area + 12496 - Width - REAL - distance between horizontal slits (x) - 32 + aModules + ST_IPCDiag_Module + + 0 + 256 + + array idx = nModId of the module + 12288 0 - - - plcAttribute_pytmc - pv: Width - io: i - field: EGU mm - - - Height - REAL - distance between vertical slits (y) - 32 - 32 - - - plcAttribute_pytmc - pv: Height - io: i - field: EGU mm - - + nModules + UINT + number of all instantiated modules (max.256) + 16 + 12288 - xOK - BOOL - status of aperture, false if error or in motion - 8 + sNetId + T_AmsNetID + 192 + 12304 + + + + ADSREADEX + Extended ADS read command. + 1472 + + NETID + T_AmsNetID + Ams net id + 192 64 - plcAttribute_pytmc - pv: OK - io: i + ItemType + Input - - - ST_PMPS_Aperture - 96 - ST_PMPS_Aperture_IO - - - ST_BeamParams - 1760 - nTran - REAL - Requested pre-optic attenuation % - 32 - 0 - - 0 - + PORT + T_AmsPort + Ads communication port + 16 + 256 - pytmc - pv: Transmission - io: i - field: HOPR 1; - field: LOPR 0; - field: PREC 2; - + ItemType + Input - nRate + IDXGRP UDINT - Pulse-rate + Index group 32 - 32 - - 0 - + 288 - pytmc - pv: Rate - io: i - field: EGU Hz - + ItemType + Input - neVRange - DWORD - Photon energy ranges + IDXOFFS + UDINT + Index offset 32 - 64 - - 0 - + 320 - pytmc - pv: eVRanges - io: i - field: EGU eV - - - displaymode - binary + ItemType + Input - neV - REAL - Photon energy + LEN + UDINT + Max. number of data bytes to read (LEN <= max. size of destination buffer) 32 - 96 - - 0 - + 352 - pytmc - pv: PhotonEnergy - io: i - field: EGU eV + ItemType + Input - nBCRange - WORD - Beamclass ranges - 16 - 128 - - 0 - + DESTADDR + PVOID + Pointer to destination buffer + 64 + 384 - pytmc - pv: BeamClassRanges - io: i + ItemType + Input - displaymode - binary + TcIgnorePersistent - nBeamClass - USINT - Beamclass + READ + BOOL + Rising edge starts command execution 8 - 144 - - 0 - + 448 - pytmc - pv: BeamClass - io: i + ItemType + Input - nMachineMode - USINT - Machine Mode - 8 - 152 + TMOUT + TIME + Maximum time allowed for the execution of this ADS command + 32 + 480 - 3 + 5000 - pytmc - pv: MachineMode - io: i - - - - - astAttenuators - ST_PMPS_Attenuator - - 1 - 16 - - Beamline attenuators - 1024 - 160 - - - pytmc - pv: AuxAtt - io: i + ItemType + Input - aVetoDevices + BUSY BOOL - - 1 - 16 - - Stoppers - 128 - 1184 + Busy flag + 8 + 512 - pytmc - pv: Veto - io: i + ItemType + Output - astApertures - ST_PMPS_Aperture - - 1 - 4 - - Apertures - 384 - 1312 - - - [1].Width - 1000 - - - [1].Height - 1000 - - - [2].Width - 1000 - - - [2].Height - 1000 - - - [3].Width - 1000 - - - [3].Height - 1000 - - - [4].Width - 1000 - - - [4].Height - 1000 - - + ERR + BOOL + Error flag + 8 + 520 - pytmc - pv: Apt - io: i + ItemType + Output - xValidToggle - BOOL - Toggle for watchdog - 8 - 1696 - - - xValid - BOOL - Beam parameter set is valid (if readback), or acknowledged (if request) - 8 - 1704 + ERRID + UDINT + ADS error code + 32 + 544 - pytmc - pv: Valid - io: i + ItemType + Output - nCohortInt + COUNT_R UDINT - Cohort index. Identifies which cohort this BP set was included in arbitration + Count of bytes actually read 32 - 1728 + 576 - pytmc - pv: Cohort - io: i - field: DESC Cohort inc on each arb cycle - + ItemType + Output - - - PE_Ranges - Does nothing other than set the gvl for photon energy bitmask to one of two constants, K or L. - Workaround for compile defines not fully working for libraries at the time of writing this. - Otherwise I would have just used the compile define in the GVL declaration. - 64 PouType FunctionBlock + + hide_all_locals + - _ST_NCADS_IDXOFFS_AxisParameter - 1632 - - PARAMSTRUCT - UDINT - NC ADS Index Offsets for Axis Parameters - 32 - 0 - - 0 - - + FB_IPCDiag_MDPRead + reads a single MDP parameter by its address + 2240 - ID - UDINT - 32 - 32 - - 1 - + bExecute + BOOL + Function block execution is triggered by a rising edge at this input. + 8 + 64 + + + ItemType + Input + + - NAME - UDINT - 32 - 64 - - 2 - + nIndex + WORD + 16 + 80 + + + ItemType + Input + + - AXISTYPE - UDINT - 32 + nFlags + BYTE + 8 96 - - 3 - + + + ItemType + Input + + - CYCLETIME - UDINT - 32 - 128 - - 4 - + nSubIndex + BYTE + 8 + 104 + + + ItemType + Input + + - UNITNAME - UDINT - 32 - 160 - - 5 - + pDstBuf + PVOID + Contains the address of the buffer for the received data. + 64 + 128 + + + ItemType + Input + + - VELOREFSEARCH + nDstBufSize UDINT + Contains the max. number of bytes to be received. 32 192 - - 6 - + + + ItemType + Input + + - VELOSYNCSEARCH - UDINT + tTimeout + TIME + States the time before the function is cancelled. 32 224 - 7 + 5000 + + + ItemType + Input + + - VELOSLOWMANUAL - UDINT - 32 + sNetId + T_AmsNetID + keep empty '' for the local device + 192 256 - 8 - - - - VELOFASTMANUAL - UDINT - 32 - 288 - - 9 - - - - VELOFAST - UDINT - 32 - 320 - - 10 - - - - POSAREAENABLE - UDINT - 32 - 352 - - 15 - - - - POSAREARANGE - UDINT - 32 - 384 - - 16 + + + + ItemType + Input + + - MOTCNTRLENABLE - UDINT - 32 - 416 - - 17 - + bBusy + BOOL + 8 + 448 + + + ItemType + Output + + - MOTCNTRLTIME - UDINT - 32 - 448 - - 18 - + bError + BOOL + 8 + 456 + + + ItemType + Output + + - LOOPENABLE - UDINT + hrErrorCode + HRESULT 32 480 - - 19 - + + + ItemType + Output + + - LOOPDISTANCE + nCount UDINT + returns the number of bytes received 32 512 - - 20 - + + + ItemType + Output + + - TARGETPOSENA + nErrID UDINT 32 544 - - 21 - - TARGETPOSRANGE + nIdxOffset UDINT 32 576 - - 22 - - TARGETPOSTIME - UDINT - 32 - 608 + fbAdsReadEx + ADSREADEX + 1472 + 640 - 23 + + .PORT + 10000 + + + .IDXGRP + 62210 + - PULSDISTPOS - UDINT - 32 - 640 - - 24 - + RisingEdge + R_TRIG + 128 + 2112 + + + PouType + FunctionBlock + + + + + FB_IPCDiag_GetModuleList + requests the full MDP module list + 27712 - PULSDISTNEG - UDINT - 32 - 672 - - 25 - + bExecute + BOOL + Function block execution is triggered by a rising edge at this input. + 8 + 64 + + + ItemType + Input + + - FADINGACCELERATION - UDINT + tTimeout + TIME + States the time before the function is cancelled. 32 - 704 + 96 - 29 + 5000 + + + ItemType + Input + + - FASTAXISSTOPSIGNALTYPE - UDINT - 32 - 736 + sNetId + T_AmsNetID + keep empty '' for the local device + 192 + 128 - 30 + + + + ItemType + Input + + - UNITFLAGS - UDINT - 32 - 768 - - 38 - + bBusy + BOOL + 8 + 320 + + + ItemType + Output + + - VELOMAXIMUM - UDINT - 32 - 800 - - 39 - + bError + BOOL + 8 + 328 + + + ItemType + Output + + - MOTCNTRLRANGE - UDINT + hrErrorCode + HRESULT 32 - 832 - - 40 - + 352 + + + ItemType + Output + + - PEHCONTROLENA - UDINT - 32 - 864 - - 41 - + stModuleList + ST_IPCDiag_ModuleList + 12496 + 384 + + + ItemType + Output + + - PEHCONTROLTIME - UDINT - 32 - 896 + cMaxNoModules + UINT + 16 + 12880 - 42 + 256 - BACKLASHCOMPENA - UDINT - 32 - 928 + cMaxModType + UINT + 16 + 12896 - 43 + 512 - BACKLASH - UDINT - 32 - 960 - - 44 - + bSubExecute + BOOL + 8 + 12912 - DATAPERSISTENCE - UDINT - 32 - 992 - - 48 - + bSubBusy + BOOL + 8 + 12920 - HWAMSADDR - UDINT - 32 - 1024 - - 49 - + aModTypeCnt + USINT + + 0 + 513 + + 4104 + 12928 - HWCHNNO - UDINT - 32 - 1056 - - 50 - + nListIdx + UINT + 16 + 17040 - APPLICATIONREQUEST - UDINT - 32 - 1088 - - 51 - + eModType + E_IPCDiag_ModuleType + 16 + 17056 - ACC - UDINT - 32 - 1120 - - 257 - + nCntModules + UINT + 16 + 17072 - DEC - UDINT - 32 - 1152 + fbReadMDP + FB_IPCDiag_MDPRead + 2240 + 17088 - 258 + + .nIndex + 61456 + + + .nFlags + 1 + + + .nSubIndex + 0 + - JERK - UDINT - 32 - 1184 - - 259 - - - - DELAY - UDINT - 32 - 1216 - - 260 - - - - OVERRIDETYPE - UDINT - 32 - 1248 - - 261 - - - - VELOJUMPFACTOR - UDINT - 32 - 1280 - - 262 - - - - REDUCTIONFEEDBACK - UDINT - 32 - 1312 - - 263 - - - - TOLERANCEBALLAUXAXIS - UDINT - 32 - 1344 - - 264 - - - - MAXPOSDEVIATIONAUXAXIS - UDINT - 32 - 1376 - - 265 - - - - FASTACC - UDINT - 32 - 1408 - - 266 - - - - FASTDEC - UDINT - 32 - 1440 - - 267 - - - - FASTJERK - UDINT - 32 - 1472 - - 268 - - - - REF_POS - UDINT - 32 - 1504 - - 65795 - - - - ENCSEARCHDIR - UDINT - 32 - 1536 - - 65793 - - - - ENCSYNCDIR - UDINT - 32 - 1568 - - 65794 - - - - ENCREFMODE - UDINT - 32 - 1600 - - 65799 - - - - - conditionalshow - - - - - _ST_NCADS_AxisParameter - 1664 - - IDXGRP - UDINT - 32 - 0 - - 16384 - + aDataList + WORD + + 0 + 513 + + 8208 + 19328 - IDXOFFS - _ST_NCADS_IDXOFFS_AxisParameter - 1632 - 32 + RisingEdge + R_TRIG + 128 + 27584 - conditionalshow + PouType + FunctionBlock - _ST_NCADS_IDXOFFS_AxisState - 800 - - ONLINESTRUCT - UDINT - 32 - 0 - - 0 - - - - ERROR - UDINT - 32 - 32 - - 1 - - + FB_IPCDiag_Register + initializes the PLC API for IPC diagnostics on a specific IPC + 45376 - SETTASKCYCLE - UDINT - - ACTPOS = TCNC_IDXOFFS_AXmappedENC | 0x00000002; // 0x00010002; - ACTVELO = TCNC_IDXOFFS_AXmappedENC | 0x00000005; // 0x00010005; - ACTACC = TCNC_IDXOFFS_AXmappedENC | 0x00000006; // 0x00010006; - MODULOACTPOS = TCNC_IDXOFFS_AXmappedENC | 0x00000003; // 0x00010003; - MODULOACTTURNS = TCNC_IDXOFFS_AXmappedENC | 0x00000004; // 0x00010004; - ACTINCREMENTS = TCNC_IDXOFFS_AXmappedENC | 0x00000007; // 0x00010007; - - 32 + bExecute + BOOL + 8 64 - - 9 - + + + ItemType + Input + + - SETPOS - UDINT + tTimeout + TIME + States the time before the function is cancelled. 32 96 - 10 + 5000 + + + ItemType + Input + + - SETVELO - UDINT - 32 + sNetId + T_AmsNetID + keep empty '' for the local device + 192 128 - 14 - - - - SETACC - UDINT - 32 - 160 - - 15 - - - - MODULOSETPOS - UDINT - 32 - 192 - - 11 - - - - MODULOSETTURNS - UDINT - 32 - 224 - - 12 + + + + ItemType + Input + + - SETDIR - UDINT - 32 - 256 - - 13 - + bValid + BOOL + read data available =(NOT bBusy AND NOT bError) + 8 + 320 + + + ItemType + Output + + - TARGETPOSITION - UDINT - 32 - 288 - - 19 - + bBusy + BOOL + 8 + 328 + + + ItemType + Output + + - REMAININGTIMEANDPOS - UDINT - 32 - 320 - - 20 - + bError + BOOL + TRUE if an error occurred. + 8 + 336 + + + ItemType + Output + + - STOPINFORMATION - UDINT + hrErrorCode + HRESULT + '< 0' = error; '> 0' = info; '0' = no error/info 32 352 - - 24 - + + + ItemType + Output + + - SETTASKCYCLE_WITHOUTCORR - UDINT - 32 + ipErrorMessage + I_TcMessage + shows detailed information about occurred errors + 64 384 - - 25 - - - - SETPOS_WITHOUTCORR - UDINT - 32 - 416 - - 26 - + + + ItemType + Output + + - SETVELO_WITHOUTCORR - UDINT - 32 + stModuleList + ST_IPCDiag_ModuleList + 12496 448 - - 30 - - - - SETACC_WITHOUTCORR - UDINT - 32 - 480 - - 31 - - - - SETDIR_WITHOUTCORR - UDINT - 32 - 512 - - 29 - - - - COUPLESTATE - UDINT - 32 - 544 - - 32 - - - - CPLPARAMS - UDINT - 32 - 576 - - 34 - - - - CPLFACTOR - UDINT - 32 - 608 - - 35 - + + + ItemType + Output + + - AXISCTRLLOOPIDX - UDINT - 32 - 640 - - 36 - + RisingEdge + R_TRIG + 128 + 12992 + + + conditionalshow + + - AXISSETPOINTGENIDX - UDINT - 32 - 672 - - 37 - + fbGetModList + FB_IPCDiag_GetModuleList + 27712 + 13120 + + + conditionalshow + + - CPLCHARACVALUES - UDINT - 32 - 704 - - 38 - + fbErrorMessage + FB_TcMessage + 4224 + 40832 + + + conditionalshow + + - CAMINFO - UDINT - 32 - 736 - - 39 - + FallingEdgeBUSY + F_TRIG + 128 + 45056 + + + conditionalshow + + - CAMSTATUS - UDINT - activation status etc. - 32 - 768 - - 40 - + stEventEntryTemp + TcEventEntry + 192 + 45184 + + + conditionalshow + + - conditionalshow + PouType + FunctionBlock + + + conditionalshow_all_locals - _ST_NCADS_AxisState - 832 - - IDXGRP - UDINT - 32 - 0 - - 16640 - - - - IDXOFFS - _ST_NCADS_IDXOFFS_AxisState - 800 - 32 - + USINT (1..255) + 8 + USINT - conditionalshow + LowerBorder + 1 + + + UpperBorder + 255 - _ST_NCADS_IDXOFFS_AxisFunctions - 1792 - - RESET - UDINT - 32 - 0 - - 1 - - - - STOP - UDINT - 32 - 32 - - 2 - - - - CLEAR - UDINT - 32 - 64 - - 3 - - - - EMERGENCYSTOP - UDINT - 32 - 96 - - 4 - - - - PARAMETRIZEDSTOP - UDINT - 32 - 128 - - 5 - - - - INITIALIZE - UDINT - Initialize Axis - 32 - 160 - - 7 - - - - ORIENTEDSTOP - UDINT - 19.04.04 issue #2470 - 32 - 192 - - 9 - - - - CALIBR - UDINT - 32 - 224 - - 16 - - - - NEWTARGPOS - UDINT - 32 - 256 - - 17 - - - - NEWTARGPOSANDVELO - UDINT - 32 - 288 - - 18 - - - - CHANGEDYNAMICPARAM - UDINT - NEU: Neue Dynamikparameter (Acc;Dec) fuer Positionierung - 32 - 320 - - 21 - - - - UNIVERSALSTART - UDINT - 05.03.07: new 'axis start and restart' command with buffer mode (issue #2786+#3276) - 32 - 352 - - 22 - - - - SLAVEUNIVERSALSTART - UDINT - 24.02.09: decoupling command with succeding universal axis start commanded to a slave axis - 32 - 384 - - 23 - - - - RELEASEAXISLOCK - UDINT - 10.12.18 release axis lock for motion commands - 32 - 416 - - 24 - - - - SETEXTERNALERROR - UDINT - 06.10.04: Externen Achsfehler setzen (Laufzeitfehler) issue #2665 - 32 - 448 - - 25 - - - - SETPOS - UDINT - 32 - 480 - - 26 - - - - SETCALFLAG - UDINT - "ist referenziert"-Flag setzen - 32 - 512 - - 27 - - - - SETACTPOS - UDINT - nur Istwertsetzen ohne Sollwertaenderung !!! - 32 - 544 - - 28 - - - - SETDRIVEPOS - UDINT - antriebsseitiges Istwertsetzen - 32 - 576 - - 29 - - - - SETENCODERSCALING - UDINT - 17.07.08: "Fliegende Änderung des Skalierungsfaktors" - 32 - 608 - - 30 - - - - SETPOSONTHEFLY - UDINT - 29.11.05: "Fliegendes Istwert Setzen" (betrifft Ist - und Sollwert) (issue #3017) - 32 - 640 - - 31 - - - - START - UDINT - 32 - 672 - - 32 - - - - STARTEXT - UDINT - 32 - 704 - - 33 - - - - STARTVELOCHANGE - UDINT - special function for MMW - 32 - 736 - - 34 - - - - ENABLEEXTGEN - UDINT - enable/start external setpoint values - 32 - 768 - - 35 - - - - DISABLEEXTGEN - UDINT - disable/stop external setpoint values - 32 - 800 - - 36 - - - - REVERSINGSEQUENCE - UDINT - 32 - 832 - - 37 - - - - STARTDRVOUT - UDINT - 32 - 864 - - 38 - - - - STOPDRVOUT - UDINT - 32 - 896 - - 39 - - - - CHANGEDRVOUT - UDINT - 32 - 928 - - 40 - - - - JUMPTOOVERRIDE - UDINT - 04.11.04: jump to actual override value and froze them until change (issue #2697) - 32 - 960 - - 41 - - - - EXTSETGENUNIVERSALSTART - UDINT - 23.11.10: deactivation of external setpoint generator with succeding universal axis start command - 32 - 992 - - 43 - - - - UNIVERSALTORQUESTART - UDINT - 20181210 Fap - new 'axis torque control start and restart' command with buffer mode - 32 - 1024 - - 45 - - - - STARTSCOM - UDINT - start superposition (position compensation) - 21.12.04: nun auch als 'ReadWrite'-Kommando - 32 - 1056 - - 48 - - - - STOPSCOM - UDINT - stop superposition (position compensation) - 32 - 1088 - - 49 - - - - PHASINGREQEUST - UDINT - start/stop the additional phasing set point generator used for a master/slave phase shift - 32 - 1120 - - 52 - - - - CPL - UDINT - Achse koppeln - 32 - 1152 - - 64 - - - - DECPL - UDINT - Achse entkoppeln (und optional neue Endposition mit neuer Geschwindigkeit) - 32 - 1184 - - 65 - - - - CPLCHANGE - UDINT - Achse Koppelparams ändern - 32 - 1216 - - 66 - - - - TABCPLCHANGE - UDINT - 3x TabSlaveAxe Tabelle-Koppelparams ändern - 32 - 1248 - - 67 - - - - STOPSLAVE - UDINT - Slave-Stop (Diagonal-Slave / Flying Saw) - 32 - 1280 - - 68 - - - - CPLTAB - UDINT - TabSlaveAxe Koppelparams setzen - 32 - 1312 - - 69 - - - - TABSLVACTIVCOR - UDINT - 2x TabSlaveAxe Korrekturtabelle aktivieren (mit/ohne Aktivierungsposition) - 32 - 1344 - - 70 - - - - TABSLVLEAVECYC - UDINT - TabSlaveAxe Monozyklische Tabelle beenden - 32 - 1376 - - 71 - - - - TABSLVCOROFFSET - UDINT - TabSlaveAxe Letzter Korrektur Offset - 32 - 1408 - - 72 - - - - TABSLVSCALING - UDINT - NEU: Slave-Skalierung der Solo-Tabellenkopplung setzen - 32 - 1440 - - 73 - - - - CPLSOLOTAB - UDINT - Solo Tabellen Kopplung - 32 - 1472 - - 74 - - - - CPLSYNCHRONIZING - UDINT - Slave Synchronizing Generator ('GearInPos' and 'GearInVelo') - 32 - 1504 - - 75 - - - - CAMSCALING - UDINT - Slave Change CAM Scaling (Aenderung der Tabellenskalierung) (issue #2514) - 32 - 1536 - - 77 - - - - DISABLE - UDINT - Achse sperren - 32 - 1568 - - 80 - - - - ENABLE - UDINT - Achse reaktivieren - 32 - 1600 - - 81 - - - - CHANGEAXISCTRLLOOP - UDINT - NEU: Aenderung des aktiven Achsregelkreises - 32 - 1632 - - 82 - - - - DRIVEDISABLE - UDINT - Drive-Output der Achse sperren - 32 - 1664 - - 96 - - - - DRIVEENABLE - UDINT - Drive-Output der Achse reaktivieren - 32 - 1696 - - 97 - - - - RELEASEBRAKE - UDINT - Feststellbremse loesen (Eil/Schleich-Gruppe) - 32 - 1728 - - 98 - - - - RECONFIGURATE - UDINT - Achse zurueckfuehren in eigene PTP-Gruppe - 32 - 1760 - - 112 - - - - - conditionalshow - - - - - _ST_NCADS_AxisFunctions - 1824 - - IDXGRP - UDINT - 32 - 0 - - 16896 - - - - IDXOFFS - _ST_NCADS_IDXOFFS_AxisFunctions - 1792 - 32 - - - - conditionalshow - - - - - _ST_NCADS_Axis - 4320 - - Parameter - _ST_NCADS_AxisParameter - 1664 - 0 - - - State - _ST_NCADS_AxisState - 832 - 1664 - - - Functions - _ST_NCADS_AxisFunctions - 1824 - 2496 - - - - conditionalshow - - - - - _ST_NCADS_IDXOFFS_TableParameter - 192 - - MFREADCHARACVALUES - UDINT - read characteristic values of a motion function - 32 - 0 - - 80 - - - - WRITETABROWS - UDINT - write table - 32 - 32 - - 16777216 - - - - WRITEMFTABROWS - UDINT - write motion function table - 32 - 64 - - 83886080 - - - - MFREADTAB - UDINT - read motion function as data table - 32 - 96 - - 34 - - - - READSLAVEDYNAMICS - UDINT - read slave table position, velocity and acceleration related to a master position - 32 - 128 - - 35 - - - - READMASTERPOSITION - UDINT - read master table position related to slave position - 32 - 160 - - 36 - - - - - conditionalshow - - - - - _ST_NCADS_TableParameter - 224 - - IDXGRP - UDINT - 32 - 0 - - 40960 - - - - IDXOFFS - _ST_NCADS_IDXOFFS_TableParameter - 192 - 32 - - - - conditionalshow - - - - - _ST_NCADS_IDXOFFS_TableFunctions - 96 - - CREATETAB - UDINT - create table - 32 - 0 - - 65536 - - - - CREATEMOTIONTAB - UDINT - create motion function table - 32 - 32 - - 65552 - - - - DELETETAB - UDINT - delete tables - 32 - 64 - - 131072 - - - - - conditionalshow - - - - - _ST_NCADS_TableFunctions - 128 - - IDXGRP - UDINT - 32 - 0 - - 41472 - - - - IDXOFFS - _ST_NCADS_IDXOFFS_TableFunctions - 96 - 32 - - - - conditionalshow - - - - - _ST_NCADS_Table - 352 - - Parameter - _ST_NCADS_TableParameter - 224 - 0 - - - Functions - _ST_NCADS_TableFunctions - 128 - 224 - - - - conditionalshow - - + I_IPCDiag_AccessParameter + 64 + PVOID + + GetParameter + access a read parameter (if more than one value is available all values can be copied at once, except for STRING types) + HRESULT + 32 + + pBuffer + parameter buffer with a given size of nBufferSize + PVOID + 64 + + + nBufferSize + buffer size in bytes (for one or more values) + UDINT + 32 + + + + GetParameterByIdx + access a read parameter by index specification + HRESULT + 32 + + pBuffer + parameter buffer with a given size of nBufferSize + PVOID + 64 + + + nBufferSize + buffer size in bytes (for one parameter value) + UDINT + 32 + + + nParameterIdx + selection of parameter value (1..nReadParameterValues) (e.g. equals list index in case of list parameter) + USINT (1..255) + 8 + + + + GetParameterStrings + access a read string parameter (if more than one value is available all values can be copied to an ARRAY OF STRING at once) + HRESULT + 32 + + pBuffer + parameter buffer with a given size of nBufferSize + PVOID + 64 + + + nBufferSize + buffer size in bytes (for one or more values) + UDINT + 32 + + + nStrings + number of strings to be copied (each string with size=nBufferSize/nStrings) + USINT + 8 + + - ADSRDDEVINFO - ADS read device info command. - 1344 - - NETID - T_AmsNetID - Ams net id - 192 - 64 - - - ItemType - Input - - - - - PORT - T_AmsPort - Ads communication port - 16 - 256 - - - ItemType - Input - - - - - RDINFO - BOOL - Rising edge starts command execution - 8 - 272 - - - ItemType - Input - - - - - TMOUT - TIME - Maximum time allowed for the execution of this ADS command - 32 - 288 - - 5000 - - - - ItemType - Input - - - - - BUSY - BOOL - Busy flag - 8 - 320 - - - ItemType - Output - - - - - ERR - BOOL - Error flag - 8 - 328 - - - ItemType - Output - - - - - ERRID - UDINT - ADS error code - 32 - 352 + I_DynMem_Manager + 64 + PVOID + + nAllocatedSize + ULINT + currently allocated dynamic memory byte size + 64 + + + nBufferCount + ULINT + current number of allocated byte buffers + 64 + + + nObjectCount + ULINT + current number of allocated objects + 64 + + + __getnAllocatedSize + ULINT + 64 - ItemType - Output + property - - - DEVNAME - STRING(19) - Device name - 160 - 384 + + + __getnBufferCount + ULINT + 64 - ItemType - Output + property - - - DEVVER - UDINT - Device version - 32 - 544 + + + __getnObjectCount + ULINT + 64 - ItemType - Output + property - - - - PouType - FunctionBlock - - - hide_all_locals - - - - - _TCMCGLOBAL - Global constants and parameters - 7104 - - NCPORT_TCMC - UINT - 20110511 type changed from INT to UINT - 16 - 64 - - 500 - - - - ItemType - Input - - - - - NCPORT_TCMC_COUPLING - UINT - 20110511 type changed from INT to UINT - 16 - 80 - - 500 - - - - ItemType - Input - - - - - NCNETID_TCMC - T_AmsNetID - 192 - 96 - - - - - - ItemType - Input - - - - - NCPORT_TCMC_CAM - UINT - 20110511 type changed from INT to UINT - 16 - 288 - - 500 - - - - ItemType - Input - - - - - NCPORT_TCMC_CAM_FAST - UINT - 20150728 KSt added - 16 - 304 - - 500 - - - - ItemType - Input - - - - - NCNETID_TCMC_CAM - T_AmsNetID - 192 - 320 - - - - - - ItemType - Input - - - - - NCPORT_TCMC_SUPERPOSITION - UINT - 20140930 KSt added - 16 - 512 - - 501 - - - - ItemType - Input - - - - - NcDeviceInfoTcMainVersion - DWORD - 32 - 544 - - - ItemType - Output - - - - - NcDeviceInfoTcSubVersion - DWORD - 32 - 576 - - - ItemType - Output - - - - - NcDeviceInfoNcDriverVersion - DWORD - 32 - 608 - - - ItemType - Output - - - - - NcDeviceInfoNcVersion - DWORD - 32 - 640 - - - ItemType - Output - - - - - NcDeviceInfoNcName - STRING(19) - 160 - 672 - - - ItemType - Output - - - - - Axis - _ST_NCADS_Axis - IDXGRP and IDXOFFS constants of axis parameter/status/functions - 4320 - 832 - - - ItemType - Output - - - suppress_warning_0 - C0228 - - - - - Table - _ST_NCADS_Table - IDXGRP and IDXOFFS constants of table parameter/status/functions - 352 - 5152 - - - ItemType - Output - - - suppress_warning_0 - C0228 - - - - - NCPORT_TCNCCAMMING_TABLEFUNCTION - UINT - 16 - 5504 - - 500 - - - - tTargetPosTimeOut - TIME - 32 - 5536 - - 6000 - - - - tADSTimeOut - TIME - 32 - 5568 - - 1000 - - - - tStopMonitoringTimeOut - TIME - 32 - 5600 - - 100 - - - - NCTOPLC_FEEDBACK_MAXWAITCYCLES - INT - 16 - 5632 - - 10 - - - - fbADSRDDEVINFO - ADSRDDEVINFO - 1344 - 5696 - - - DeviceVersion - DWORD - 32 - 7040 - - - Init - BOOL - 8 - 7072 - - - ReadDeviceInfo - - - - PouType - FunctionBlock - - - conditionalshow - - + + + Alloc + | Method allocates new dynamic memory with the given size. +| If the return value is 0 memory was unavailable. + PVOID + 64 + + nSize + requested size in bytes + UDINT + 32 + + + bReset + zero the allocated memory + BOOL + 8 + + + + Free + + p + PVOID + 64 + + + nSize + UDINT + 32 + + - E_AssertionType - 8 - BYTE + E_IPCDiag_ParameterKey + 64 + LWORD - Type_UNDEFINED + Unselected 0 - Type_ANY - 1 + IPCDeviceName + 30399297753710592 + IPC device name, STRING, read/write (MDP general area) - Type_BOOL - 2 - Primitive types + IPCHardwareVersion + 7881299616923648 + IPC hardware version, STRING, constant (MDP general area) - Type_BYTE - 3 + IPCImageVersion + 7881299616989184 + IPC image version, STRING, constant (MDP general area) - Type_DATE - 4 + IPCVendor + 6473924734353409 + IPC vendor, UDINT, constant (MDP general area) - Type_DATE_AND_TIME - 5 + IPCSerialNumber + 12384903168524288 + serial number (or BTN) of Beckhoff IPC, STRING, read-only (MDP device area) - Type_DINT - 6 + CPU_Name + 12384948368441347 + CPU name, STRING, read-only - Type_DWORD - 7 + CPU_Frequency + 6473973857583105 + CPU Frequency, UDINT, constant - Type_INT - 8 + CPU_Usage + 10414623531532290 + Current CPU Usage (%), UINT, read-only - Type_LINT - 9 + CPU_Temp + 10133148554821635 + Current CPU Temperature (°C), INT, read-only - Type_LREAL - 10 + Disk_NoVolumes + 10414700840943616 + number of volumes (VolumeList length), UINT, read-only - Type_LTIME - 11 + Disk_VolumeList_DriveLetter + 156500213753774081 + drive letter, STRING, read-only - Type_LWORD - 12 + Disk_VolumeList_Label + 174514612263321601 + volume label, STRING, read/write (write is not supported under WinCE) - Type_REAL - 13 + Disk_VolumeList_FileSystem + 156500213753905153 + file system, STRING, read-only - Type_SINT - 14 + Disk_VolumeList_DriveType + 155092838870417409 + drive type (0=Unknown,1=Fixed,2=Removable,4=CDROM), UDINT, read-only - Type_STRING - 15 + Disk_VolumeList_TotelSize + 155655788823904257 + total size (bytes), ULINT, read-only - Type_TIME - 16 + Disk_VolumeList_FreeSpace + 155655788823969793 + free space (bytes), ULINT, read-only - Type_TIME_OF_DAY - 17 + Disk_FreeSpaceOfVolumeC + 9234912637602496512 + free space of the volume with drive letter 'C' (bytes), ULINT, read-only - Type_UDINT - 18 + Disk_FreeSpaceOfVolumeD + 9234912637602496513 + free space of the volume with drive letter 'D' (bytes), ULINT, read-only - Type_UINT - 19 + Display_ModuleCnt + 81909301975711744 + number of Display modules, USINT, read-only - Type_ULINT - 20 + Display_Name + 12384982728179715 + display device name, STRING, read-only - Type_USINT - 21 + Display_IdxOfActiveMode + 27866106447331329 + index of active display mode (1..n), USINT, read/write - Type_WORD - 22 + Display_NoModes + 10414657891336192 + number of available display modes (ModeList length), UINT, read-only - Type_WSTRING - 23 + Display_ModeList_ModeDef + 156500170804166657 + display mode definition, STRING, read-only - Type_Array2D_LREAL - 24 - Array types + Display_PrimaryDisplay + 9288757984559105 + is primary display, BOOL, read-only - Type_Array2D_REAL - 25 + Display_ComPort + 30399381237858306 + COM port, STRING, read/write - Type_Array3D_LREAL - 26 + Display_Version + 10977607844823043 + version, UDINT, read-only - Type_Array3D_REAL - 27 + Display_Brightness + 28992006354305028 + brightness in % (20..100), UDINT, read/write - Type_Array_BOOL - 28 + Display_Light + 27303156494041093 + light, BOOL, read/write - Type_Array_BYTE - 29 + Fan_ModuleCnt + 81909336335450112 + number of Fan modules, USINT, read-only - Type_Array_DINT - 30 + Fan_Name + 12385017087918083 + fan adapter name, STRING, read-only - Type_Array_DWORD - 31 + Fan_Speed + 10133217274298369 + fan speed (rpm), INT, read-only - Type_Array_INT - 32 + MB_Type + 12385021382950913 + mainboard type, STRING, read-only - Type_Array_LINT - 33 + MB_SerialNumber + 12385021382950914 + mainboard serial number, STRING, read-only - Type_Array_LREAL - 34 + MB_ProductionDate + 12385021382950915 + mainboard production date, STRING, read-only - Type_Array_LWORD - 35 + MB_BootCnt + 10977646499397636 + boot count, UDINT, read-only - Type_Array_REAL - 36 + MB_OperationTime + 10977646499397637 + opertaion time (minutes), UDINT, read-only - Type_Array_SINT - 37 + MB_MinTemperature + 10696171522686982 + min.mainboard temperature (°C), DINT, read-only - Type_Array_UDINT - 38 + MB_MaxTemperature + 10696171522686983 + max.mainboard temperature (°C), DINT, read-only - Type_Array_UINT - 39 + MB_MinInputVoltage + 10696171522686984 + min.mainboard input voltage (mV), DINT, read-only - Type_Array_ULINT - 40 + MB_MaxInputVoltage + 10696171522686985 + max.mainboard input voltage (mV), DINT, read-only - Type_Array_USINT - 41 + MB_Temperature + 10133221569265674 + mainboard temperature (°C), INT, read-only - Type_Array_WORD - 42 + MB_Revision + 9851746592620545 + mainboard revision, USINT, read-only - - - qualified_only - - - strict - - - - - ST_TestCaseResult - 6192 - - TestName - T_MaxString - 2048 - 0 - - - TestClassName - T_MaxString - 2048 - 2048 - - - TestIsFailed - BOOL - 8 - 4096 - - - TestIsSkipped - BOOL - 8 - 4104 - - - FailureMessage - T_MaxString - 2048 - 4112 - - - FailureType - E_AssertionType - 8 - 6160 - - - NumberOfAsserts - UINT - 16 - 6176 - - - - ST_TestSuiteResult - 621296 - - Name - T_MaxString - Full class name - 2048 - 0 - - - Identity - UINT (0..GVL_Param_TcUnit.MaxNumberOfTestSuites) - Should be 0..GVL_Param_TcUnit.MaxNumberOfTestSuites-1 but gives unknown compiler error - 16 - 2048 - - - NumberOfTests - UINT (0..GVL_Param_TcUnit.MaxNumberOfTestsForEachTestSuite) - 16 - 2064 - - - NumberOfFailedTests - UINT (0..GVL_Param_TcUnit.MaxNumberOfTestsForEachTestSuite) - 16 - 2080 - - - TestCaseResults - ST_TestCaseResult - - 1 - 100 - - 619200 - 2096 - - - - ST_TestSuiteResults - 621296064 - - NumberOfTestSuites - UINT - The total number of test suites - 16 - 0 - - - NumberOfTestCases - UINT - The total number of test cases (for all test suites) - 16 - 16 - - - NumberOfSuccessfulTestCases - UINT - The total number of test cases that had all ASSERTS successful - 16 - 32 - - - NumberOfFailedTestCases - UINT - The total number of test cases that had at least one ASSERT failed - 16 - 48 - - - TestSuiteResults - ST_TestSuiteResult - - 1 - 1000 - - Test results for each individiual test suite - 621296000 - 64 - - - - I_TestResults - 64 - PVOID - - GetAreTestResultsAvailable - BOOL - 8 - - - GetTestSuiteResults - ST_TestSuiteResults - 64 - - - - FB_TestResults - This function block holds results of the complete test run, i.e. results for all test suites - 621296448 - I_TestResults - - TestSuiteResults - ST_TestSuiteResults - Test results - 621296064 - 128 - - - StoringTestSuiteResultNumber - UINT (UINT#1..GVL_Param_TcUnit.MaxNumberOfTestSuites) - Misc variables - 16 - 621296192 - - - StoringTestSuiteTrigger - R_TRIG - 128 - 621296256 - - - StoredTestSuiteResults - BOOL - 8 - 621296384 - - - StoredGeneralTestResults - BOOL - 8 - 621296392 - - - NumberOfTestsToAnalyse - UINT (UINT#1..GVL_Param_TcUnit.MaxNumberOfTestSuites) - 16 - 621296400 - - - GetAreTestResultsAvailable - Returns whether the storing of the test results is finished - BOOL - 8 - - - GetTestSuiteResults - ST_TestSuiteResults - 64 - - - - PouType - FunctionBlock - - - - - I_TestResultLogger - 64 - PVOID - - LogTestSuiteResults - - - - FB_AdsTestResultLogger - - This function block reports the results from the tests using the built-in ADSLOGSTR functionality - provided by the Tc2_System library. This sends the result using ADS, which is consumed by the "Error List" - of Visual Studio (which can print Errors, Warnings and Messages). - - 448 - I_TestResultLogger - - TestResults - I_TestResults - 64 - 128 - - - PrintingTestSuiteResultNumber - UINT (UINT#1..GVL_Param_TcUnit.MaxNumberOfTestSuites) - 16 - 192 - - - PrintingTestSuiteTrigger - R_TRIG - 128 - 256 - - - PrintedFinalTestResults - BOOL - This flag is set once the final end result has printed - 8 - 384 - - - PrintedTestSuitesResults - BOOL - This flag is set once the test suites result have been printed - 8 - 392 - - - LogTestSuiteResults - - TcUnitTestResults - ST_TestSuiteResults - 64 - - - StringToPrint - T_MaxString - 2048 - - - TestsInTestSuiteCounter - UINT (UINT#1..GVL_Param_TcUnit.MaxNumberOfTestsForEachTestSuite) - 16 - - - MaxNumberOfTestsToPrint - UINT (UINT#1..GVL_Param_TcUnit.MaxNumberOfTestsForEachTestSuite) - 16 - - - TEST_STATUS_SKIP - STRING(80) - 648 - - - TEST_STATUS_PASS - STRING(80) - 648 - - - TEST_STATUS_FAIL - STRING(80) - 648 - - - - - PouType - FunctionBlock - - - - - FB_FileControl - - This functionblock can open, close, read, write and delete files on the local filesystem - - 192 - - FileAccessMode - ACCESS_MODE - Append_Plus creates the file if it doesn't exist yet. - 32 - 64 - - ACCESS_MODE.AM_APPEND_PLUS - - - - FileHandle - RTS_IEC_HANDLE - 64 - 128 - - - Read - - Reads a file from disk into the buffer - - RTS_IEC_RESULT - 32 - - BufferPointer - Call with ADR(); - BYTE - 64 - - - Size - Call with SIZEOF(); - UDINT - 32 - - - FileSize - RTS_IEC_SIZE - 64 - - - ItemType - Output - - - - - - Close - - Closes the currently opened file. - - RTS_IEC_RESULT - 32 - - - Open - - Opens a file - - RTS_IEC_RESULT - 32 - - FileName - File name can contain an absolute or relative path to the file. Path entries must be separated with a Slash (/) - T_MaxString - 2048 - - - FileAccessMode - ACCESS_MODE - 32 - - - - Delete - - Deletes a file specified by name, if it exists. - - RTS_IEC_RESULT - 32 - - FileName - File name can contain an absolute or relative path to the file. Path entries must be separated with a forward slash (/) - T_MaxString - 2048 - - - - Write - - Writes the contents of the buffer into a file. - - RTS_IEC_RESULT - 32 - - BufferPointer - Call with ADR(); - BYTE - 64 - - - Size - Call with SIZEOF(); - UDINT - 32 - - - - - PouType - FunctionBlock - - - - - E_XmlError - 8 - BYTE - Ok - 0 + MB_BiosVersionMajor + 9851746592620546 + bios major version, USINT, read-only - ErrorMaxBufferLen - 1 + MB_BiosVersionMinor + 9851746592620547 + bios minor version, USINT, read-only - ErrorStringLen - 2 + MB_BiosVersion + 12385021383016452 + bios version, STRING, read-only - Error - 3 + MB_NoVoltageMeasurements + 10414696546107392 + number of voltage measurements (VoltageList length), UINT, read-only - - - qualified_only - - - strict - - - - - FB_StreamBuffer - - This functionblock acts as a stream buffer for use with FB_XmlControl - - 192 - - _PointerToStringBuffer - BYTE - 64 - 64 - - - _BufferSize - UDINT - 32 - 128 - - - _Length - UDINT - 32 - 160 - - - CutOff - T_MaxString - 2048 - - StartPos - UDINT - 32 - - - CutLen - UDINT - 32 - - - ItemType - Output - - - - - XmlError - E_XmlError - 8 - - - ItemType - Output - - - - - Loop - UDINT - 32 - - - PointerToByteToCut - BYTE - 64 - - - PointerToByteBuffer - BYTE - 64 - - - - Find - - Find a searchstring in the buffer and returns its position. - It's possible to add a preffered startposition within buffer - - UDINT - 32 - - SearchString - STRING(80) - 648 - - - StartPos - UDINT - 32 - - - Loop - UDINT - 32 - - - Search - UDINT - 32 - - - PointerToBuffer - BYTE - 64 - - - PointerToSearch - BYTE - 64 - - - - FindBack - UDINT - 32 - - SearchString - T_MaxString - 2048 - - - Loop - UDINT - 32 - - - Search - UDINT - 32 - - - PointerToBuffer - BYTE - 64 - - - PointerToSearch - BYTE - 64 - - - - __getLength - - Gets/Sets the current length (in bytes) of the streambuffer - - UDINT - 32 - - Length - UDINT - 32 - - - - property - - - - - Clear - - Clears the buffer and sets the length to 0 - - - Count - UDINT - 32 - - - - __setAppend - - Appends a string to the buffer - - - Append - - Appends a string to the buffer - - T_MaxString - 2048 - - - ByteIn - BYTE - 64 - - - ByteBuffer - BYTE - 64 - - - - property - - - - - __getBufferSize - - Read current Buffersize - - UDINT - 32 - - BufferSize - UDINT - 32 - - - - property - - - - - __setLength - - Gets/Sets the current length (in bytes) of the streambuffer - - - Length - - Gets/Sets the current length (in bytes) of the streambuffer - - UDINT - 32 - - - - property - - - - - SetBuffer - BOOL - 8 - - PointerToBufferAddress - Set buffer address (ADR ...) - BYTE - 64 - - - SizeOfBuffer - Set buffer size (SIZEOF ...) - UDINT - 32 - - - - Copy - - Copies a string from the character buffer - - T_MaxString - 2048 - - StartPos - UDINT - 32 - - - EndPos - UDINT - 32 - - - CopyLen - UDINT - 32 - - - ItemType - Output - - - - - XmlError - E_XmlError - 8 - - - ItemType - Output - - - - - Loop - UDINT - 32 - - - PointerToByteToCopy - BYTE - 64 - - - PointerToBuffer - BYTE - 64 - - - CurPos - UDINT - 32 - - - - - PouType - FunctionBlock - - - - - FB_XmlControl - - Organizes parsing and composing of XML data. Data can be treated as STRING or char array. - Buffer size of file can be set via GVL_Param_TcUnit (xUnitBufferSize) - - 6016 - - XmlBuffer - FB_StreamBuffer - 192 - 64 - - - TagListBuffer - FB_StreamBuffer - 192 - 256 - - - Tags - T_MaxString - 2048 - 448 - - - TagListSeekBuffer - FB_StreamBuffer - 192 - 2496 - - - TagsSeek - STRING(80) - 648 - 2688 - - - TagBuffer - FB_StreamBuffer - 192 - 3392 - - - Tag - T_MaxString - 2048 - 3584 - - - TagOpen - BOOL - 8 - 5632 - - - Select - UDINT - 32 - 5664 - - - SearchPosition - UDINT - 32 - 5696 - - - TAG_OPEN - STRING(1) - 16 - 5728 - - < - - - - TAG_CLOSE - STRING(1) - 16 - 5744 - - > - - - - END_TAG_CLOSE - STRING(2) - 24 - 5760 - - /> - - - - SPACE - STRING(1) - 16 - 5784 - - - - - - EQUALS - STRING(1) - 16 - 5800 - - = - - - - QUOTE - STRING(1) - 16 - 5816 - - " - - - - BACK_SLASH - STRING(1) - 16 - 5832 - - \ - - - - FORWARD_SLASH - STRING(1) - 16 - 5848 - - / - - - - OPEN_COMMENT - STRING(5) - 48 - 5864 - - <!-- - - - - CLOSE_COMMENT - STRING(4) - 40 - 5912 - - --> - - - - TAB - STRING(2) - 24 - 5952 - - - - - - CR_LF - STRING(4) - 40 - 5976 - - - - - - - NewParameter - - Must be called after opening a new tag - - XML.NewParameter(Name: = 'ParaName', Value: = 'Value'); - - - Name - T_MaxString - 2048 - - - Value - T_MaxString - 2048 - - - - NewTag - - Creates a new Tag: - XML: <MyTag> - - XML.NewTag(Name: = 'MyTag'); - - - Name - T_MaxString - 2048 - - - - CloseTag - - Closes a Tag: - XML: <MyTag />' - - Method: XML.CloseTag(); - - T_MaxString - 2048 - - ClosedTag - T_MaxString - 2048 - - - - WriteDocumentHeader - - Add your own preffered fileheader like: - XML: <?xml version="1.0" encoding="UTF-8"?> - - Start with calling this method before appending any other tags! - - XML.WriteDocumentHeader('<?xml version="1.0" encoding="UTF-8"?>'); - - - Header - T_MaxString - 2048 - - - - NewComment - - Adds a comment - XML: <!-- MyComment --> - - XML.NewComment(Comment: = 'MyComment'); - - - Comment - T_MaxString - 2048 - - - - __getLength - UDINT - 32 - - Length - UDINT - 32 - - - - property - - - - - NewTagData - - Data - T_MaxString - 2048 - - - - SetBuffer - - PointerToBuffer - ADR(..) - BYTE - 64 - - - SizeOfBuffer - SIZEOF(..) - UDINT - 32 - - - - ClearBuffer - - Clears the contents of the entire buffer. - - - - ToStartBuffer - - Jump to the beginning of the XML data - XML.ToStartBuffer(); - - - - - PouType - FunctionBlock - - - - - FB_xUnitXmlPublisher - - Publishes test results into an xUnit compatible Xml file - - 530944 - I_TestResultLogger - - TestResults - I_TestResults - Dependancy Injection via FB_Init - 64 - 128 - - - AccessMode - ACCESS_MODE - File access mode - 32 - 192 - - ACCESS_MODE.AM_WRITE_PLUS - - - - File - FB_FileControl - 192 - 256 - - - Xml - FB_XmlControl - 6016 - 448 - - - BufferInitialised - BOOL - 8 - 6464 - - false - - - - Buffer - BYTE - - 0 - 65535 - - 524280 - 6472 - - - WritingTestSuiteResultNumber - UINT (UINT#1..GVL_Param_TcUnit.MaxNumberOfTestSuites) - 16 - 530752 - - - PublishTrigger - R_TRIG - 128 - 530816 - - - DeleteOpenWriteClose - - Deletes the former file (if it exists). - Opens the file, writes the buffer and closes it. - - RTS_IEC_RESULT - 32 - - - LogTestSuiteResults - - This method is responsible for the entire generation of the output file. - The output of the xml writer is NOT beautified. - - When new data is available, feel free to add it to the report - - - UnitTestResults - ST_TestSuiteResults - 64 - - - CurrentSuiteNumber - UINT - 16 - - - CurrentTestCount - UINT - 16 - - - TEST_STATUS_SKIP - STRING(80) - 648 - - - TEST_STATUS_PASS - STRING(80) - 648 - - - TEST_STATUS_FAIL - STRING(80) - 648 - - - - Initialised - BOOL - 8 - - - - PouType - FunctionBlock - - - - - FB_TcUnitRunner - - This function block is responsible for holding track of the tests and executing them. - - 621828480 - - AllTestSuitesFinished - BOOL - Indication of whether all test suites have reported that they are finished - 8 - 64 - - false - - - - TestResults - FB_TestResults - Test result information - 621296448 - 128 - - - AdsTestResultLogger - FB_AdsTestResultLogger - Prints the results to ADS so that Visual Studio can display the results. - This test result formatter can be replaced with something else than ADS - 448 - 621296576 - - - old_input_assignments - - - - - TestResultLogger - I_TestResultLogger - 64 - 621297024 - - - AbortRunningTestSuites - BOOL - If this flag is set, it means that some external event triggered the - request to abort running the test suites - 8 - 621297088 - - - xUnitXmlPublisher - FB_xUnitXmlPublisher - Publishes a xUnit compatible XML file - 530944 - 621297152 - - - old_input_assignments - - - - - XmlTestResultPublisher - I_TestResultLogger - 64 - 621828096 - - - __FB_TCUNITRUNNER__RUNTESTSUITETESTSINSEQUENCE__CURRENTLYRUNNINGTESTSUITE - UINT - This variable holds which current test suite is being called, as we are running - each one in a sequence (one by one) - 16 - 621828160 - - 1 - - - - __FB_TCUNITRUNNER__RUNTESTSUITETESTSINSEQUENCE__TIMERBETWEENEXECUTIONOFTESTSUITES - TOF - 256 - 621828224 - - - AbortRunningTestSuiteTests - This function sets a flag which makes the runner stop running the tests - in the test suites - - - RunTestSuiteTestsInSequence - This runs all the test suites in sequence (one after the other) - - TimeBetweenTestSuitesExecution - Time delay between a test suite is finished and the next test suite starts - TIME - 32 - - - BusyPrinting - BOOL - 8 - - - NumberOfTestSuitesFinished - We need to hold a temporary state of the statistics - as we don't consider the tests to be completely finished until all test suites have executed completely. - The reason we want to do it this way is because a test suite can run over several cycles. Only once all tests - are finished (which might take many cycles), do we gather correct statistics - UINT - 16 - - - CurrentlyRunningTestSuite - This variable holds which current test suite is being called, as we are running - each one in a sequence (one by one) - UINT - 16 - - - uselocation - __FB_TCUNITRUNNER__RUNTESTSUITETESTSINSEQUENCE__CURRENTLYRUNNINGTESTSUITE - - - - - TimerBetweenExecutionOfTestSuites - TOF - 256 - - - uselocation - __FB_TCUNITRUNNER__RUNTESTSUITETESTSINSEQUENCE__TIMERBETWEENEXECUTIONOFTESTSUITES - - - - - - RunTestSuiteTests - This runs all the test suites in parallel - - Counter - UINT - 16 - - - BusyPrinting - BOOL - 8 - - - NumberOfTestSuitesFinished - We need to hold a temporary state of the statistics - as we don't consider the tests to be completely finished until all test suites have executed completely. - The reason we want to do it this way is because a test suite can run over several cycles. Only once all tests - are finished (which might take many cycles), do we gather correct statistics - UINT - 16 - - - - - PouType - FunctionBlock - - - - - FB_Test - - This function block holds all data that defines a test. - - 4224 - - TestName - T_MaxString - 2048 - 64 - - - TestIsFinished - BOOL - 8 - 2112 - - - TestIsSkipped - BOOL - This is set to true, if test is disabled (by putting the string "disabled_" in front of the test name - 8 - 2120 - - - NumberOfAssertions - UINT - 16 - 2128 - - - TestOrderNumber - UINT (0..GVL_Param_TcUnit.MaxNumberOfTestsForEachTestSuite) - In which order/sequence relative to the order tests should this test be executed/evaluated. - A value of 0 means it is not defined by TEST_ORDERED() but by un-ordered test (TEST()). - A value <> 0 tells in which order this test will be executed/evaluated. The lower the number, the earlier it will execute. - 16 - 2144 - - - TestIsFailed - BOOL - Indication of whether this test has at least one failed assert - 8 - 2160 - - - AssertionMessage - T_MaxString - Assertion message for the first assertion in this test - 2048 - 2168 - - - AssertionType - E_AssertionType - Assertion type for the first assertion in this test - 8 - 4216 - - - GetAssertionType - E_AssertionType - 8 - - - SetFailed - - - SetName - - Name - T_MaxString - 2048 - - - - GetName - T_MaxString - 2048 - - - SetNumberOfAssertions - - NoOfAssertions - UINT - 16 - - - - SetTestOrder - Sets in which order/sequence relative to the order tests should this test be executed/evaluated. - - OrderNumber - UINT (0..GVL_Param_TcUnit.MaxNumberOfTestsForEachTestSuite) - 16 - - - - IsSkipped - BOOL - 8 - - - GetNumberOfAssertions - UINT - 16 - - - SetFinished - BOOL - 8 - - - GetAssertionMessage - T_MaxString - 2048 - - - SetSkipped - Sets the test case to skipped - - - SetAssertionMessage - Sets the assertion message. If one already exists, it's not overwritten as we keep the first assertion in the test - - AssertMessage - T_MaxString - 2048 - - - - SetAssertionType - Sets the assertion type. If one already exists, it's not overwritten as we keep the first assertion in the test - - AssertType - E_AssertionType - 8 - - - - IsFinished - BOOL - 8 - - - GetTestOrder - Gets in which order/sequence relative to the order tests should this test be executed/evaluated. - UINT (0..GVL_Param_TcUnit.MaxNumberOfTestsForEachTestSuite) - 16 - - - IsFailed - BOOL - 8 - - - - PouType - FunctionBlock - - - - - U_ExpectedOrActual - 4096 - - boolExpectedOrActual - BOOL - 8 - 0 - - - bitExpectedOrActual - BIT - 8 - 0 - - - byteExpectedOrActual - BYTE - 8 - 0 - - - sintExpectedOrActual - SINT - 8 - 0 - - - usintExpectedOrActual - USINT - 8 - 0 - - - intExpectedOrActual - INT - 16 - 0 - - - uintExpectedOrActual - UINT - 16 - 0 - - - wordExpectedOrActual - WORD - 16 - 0 - - - dwordExpectedOrActual - DWORD - 32 - 0 - - - dateandtimeExpectedOrActual - DATE_AND_TIME - 32 - 0 - - - dintExpectedOrActual - DINT - 32 - 0 - - - realExpectedOrActual - REAL - 32 - 0 - - - timeExpectedOrActual - TIME - 32 - 0 - - - dateExpectedOrActual - DATE - 32 - 0 - - - udintExpectedOrActual - UDINT - 32 - 0 - - - timeofdayExpectedOrActual - TIME_OF_DAY - 32 - 0 - - - lwordExpectedOrActual - LWORD - 64 - 0 - - - lintExpectedOrActual - LINT - 64 - 0 - - - ulintExpectedOrActual - ULINT - 64 - 0 - - - lrealExpectedOrActual - LREAL - 64 - 0 - - - ltimeExpectedOrActual - LTIME - 64 - 0 - - - stringExpectedOrActual - T_MaxString - 2048 - 0 - - - wstringExpectedOrActual - WSTRING(255) - 4096 - 0 - - - - ST_AssertResult - 12288 - - Expected - U_ExpectedOrActual - 4096 - 0 - - - Actual - U_ExpectedOrActual - 4096 - 4096 - - - Message - T_MaxString - 2048 - 8192 - - - TestInstancePath - T_MaxString - 2048 - 10240 - - - - ST_AssertResultInstances - 12352 - - AssertResult - ST_AssertResult - 12288 - 0 - - - DetectionCount - UINT - Number of instances of the "AssertResult" - 16 - 12288 - - - DetectionCountThisCycle - UINT - Number of instance of the "AssertResult" in this specific PLC-cycle - 16 - 12304 - - - - FB_AssertResultStatic - - This function block is responsible for keeping track of which asserts that have been made. The reason we need to - keep track of these is because if the user does the same assert twice (because of running a test suite over several - PLC-cycles) we want to know it so we don't print several times (if the assert fails). - An instance of an assert is keyed/identified with the following parameters as key: - - Value of expected - - Value of actual - - Message (string) - - Test instance path (string) - - 24640448 - - AssertResults - ST_AssertResult - - 1 - 1000 - - The total number of instances of each of the "AssertResults" - 12288000 - 64 - - - TotalAsserts - UINT - The total number of unique asserts - 16 - 12288064 - - 0 - - - - GetCurrentTaskIndex - GETCURTASKINDEX - Function block to get the current task cycle - 256 - 12288128 - - - AssertResultInstances - ST_AssertResultInstances - - 1 - 1000 - - The total number of instances of each of the "AssertResults" - 12352000 - 12288384 - - - CycleCount - UDINT - The last PLC cycle count - 32 - 24640384 - - - FirstCycleExecuted - BOOL - Only run first cycle - 8 - 24640416 - - - CopyDetectionCountAndResetDetectionCountInThisCycle - - IteratorCounter - UINT - 16 - - - - GetNumberOfAssertsForTest - UINT - 16 - - CompleteTestInstancePath - T_MaxString - 2048 - - - Counter - UINT - 16 - - - NumberOfAsserts - UINT - 16 - - - - CreateAssertResultInstance - - ExpectedSize - UDINT - 32 - - - ExpectedTypeClass - TypeClass - 16 - - - ExpectedValue - BYTE - 64 - - - ActualSize - UDINT - 32 - - - ActualTypeClass - TypeClass - 16 - - - ActualValue - BYTE - 64 - - - Message - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - IteratorCounter - UINT - 16 - - - - GetDetectionCountThisCycle - UINT - 16 - - ExpectedSize - UDINT - 32 - - - ExpectedTypeClass - TypeClass - 16 - - - ExpectedValue - BYTE - 64 - - - ActualSize - UDINT - 32 - - - ActualTypeClass - TypeClass - 16 - - - ActualValue - BYTE - 64 - - - Message - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - IteratorCounter - UINT - 16 - - - - GetDetectionCount - UINT - 16 - - ExpectedSize - UDINT - 32 - - - ExpectedTypeClass - TypeClass - 16 - - - ExpectedValue - BYTE - 64 - - - ActualSize - UDINT - 32 - - - ActualTypeClass - TypeClass - 16 - - - ActualValue - BYTE - 64 - - - Message - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - IteratorCounter - UINT - 16 - - - - ReportResult - - This method is called in every assert and returns whether this particular assert has already been called. - The reason one would like to know whether this assert has already been reported or not is to not report it several - times to any logging service. Because a test-suite can consist of several tests, and certain tests can require the - test to run over several cycles it means that certain asserts could be called several times and thus we need to - keep track of which asserts we've already reported. The user of the framework should not need to care for any of - this and he/she should be able to call the asserts in any way they find suitable. - - To know what assert this is we need to check for the total combination of: - - Test message - - Test instance path - - Expected value - - Actual value - Theoretically we can have a situation where a test has three different asserts, each and one with the same test - message/test instance path/actual value/expected value but called within the same or different cycles. In order for - us to handle all situations we need a simple algorithm that works according to: - - Keep track of how many instances the combination of test message/test instance path/expected value/actual value - we have. So for example, if we have called Assert(Exp := 5, Act := 5, 'Hello there', 'PRG.InstanceTestSuite.Test') - two times in one cycle, we have two instances of that combination. This is done according to: - - Iterate all existing reports. - - If we have a new PLC-cycle, set the current detection-count to zero. - - If new report does not match in any of the above fields, create it (together with current PLC-cycle). - Also store the information that we have one instance of this combination and +1 on the detection-count. - - If new report matches in all of the above, +1 in the detection-count. If this detection-count is larger than - the stored detection-count for this combination, create a new report and add +1 to the storage of - the detection-count. - - - ExpectedSize - UDINT - 32 - - - ExpectedTypeClass - TypeClass - 16 - - - ExpectedValue - BYTE - 64 - - - ActualSize - UDINT - 32 - - - ActualTypeClass - TypeClass - 16 - - - ActualValue - BYTE - 64 - - - Message - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - ItemType - Output - - - - - LocationIndex - UINT - 16 - - - DataTypesNotEquals - BOOL - 8 - - - DataSizeNotEquals - BOOL - 8 - - - DataContentNotEquals - BOOL - 8 - - - CurrentCycleCount - UDINT - 32 - - - IteratorCounter - UINT - 16 - - - DetectionCountTemp - UINT - 16 - - - FoundOne - BOOL - 8 - - - AdditionalIdenticalAssert - BOOL - 8 - - - - AddAssertResult - - ExpectedSize - UDINT - 32 - - - ExpectedTypeClass - TypeClass - 16 - - - ExpectedValue - BYTE - 64 - - - ActualSize - UDINT - 32 - - - ActualTypeClass - TypeClass - 16 - - - ActualValue - BYTE - 64 - - - Message - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - - - PouType - FunctionBlock - - - - - ST_AssertArrayResult - 4224 - - ExpectedsSize - UDINT - Size in bytes of the expecteds-array - 32 - 0 - - - ExpectedsTypeClass - TypeClass - The data type of the expecteds-array - 16 - 32 - - - ActualsSize - UDINT - Size in bytes of the actuals-array - 32 - 64 - - - ActualsTypeClass - TypeClass - The data type of the actuals-array - 16 - 96 - - - Message - T_MaxString - 2048 - 112 - - - TestInstancePath - T_MaxString - 2048 - 2160 - - - - ST_AssertArrayResultInstances - 4256 - - AssertArrayResult - ST_AssertArrayResult - 4224 - 0 - - - DetectionCount - UINT - Number of instances of the "AssertArrayResult" - 16 - 4224 - - - DetectionCountThisCycle - UINT - Number of instance of the "AssertArrayResult" in this specific PLC-cycle - 16 - 4240 - - - - FB_AssertArrayResultStatic - - This function block is responsible for keeping track of which array-asserts that have been made. - The reason we need to keep track of these is because if the user does the same assert twice - (because of running a test suite over several PLC-cycles) we want to know it so we don't print several times - (if the assert fails). An instance of an array-assert is keyed/identified with the following parameters as key: - - Array-size (in bytes) of the expecteds - - Datatype of the expecteds - - Array-size (in bytes) of the actuals - - Datatype of the actuals - - Message (string) - - Test instance path (string) - - 8480448 - - AssertArrayResults - ST_AssertArrayResult - - 1 - 1000 - - The total number of instances of each of the "AssertArrayResults" - 4224000 - 64 - - - TotalArrayAsserts - UINT - The total number of unique asserts - 16 - 4224064 - - 0 - - - - GetCurrentTaskIndex - GETCURTASKINDEX - Function block to get the current task cycle - 256 - 4224128 - - - AssertArrayResultInstances - ST_AssertArrayResultInstances - - 1 - 1000 - - The total number of instances of each of the "AssertArrayResults" - 4256000 - 4224384 - - - CycleCount - UDINT - The last PLC cycle count - 32 - 8480384 - - - FirstCycleExecuted - BOOL - Only run first cycle - 8 - 8480416 - - - CreateAssertResultInstance - - ExpectedsSize - UDINT - 32 - - - ExpectedsTypeClass - TypeClass - 16 - - - ActualsSize - UDINT - 32 - - - ActualsTypeClass - TypeClass - 16 - - - Message - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - IteratorCounter - UINT - 16 - - - - GetDetectionCountThisCycle - UINT - 16 - - ExpectedsSize - UDINT - 32 - - - ExpectedsTypeClass - TypeClass - 16 - - - ActualsSize - UDINT - 32 - - - ActualsTypeClass - TypeClass - 16 - - - Message - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - IteratorCounter - UINT - 16 - - - - GetDetectionCount - UINT - 16 - - ExpectedsSize - UDINT - 32 - - - ExpectedsTypeClass - TypeClass - 16 - - - ActualsSize - UDINT - 32 - - - ActualsTypeClass - TypeClass - 16 - - - Message - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - IteratorCounter - UINT - 16 - - - - ReportResult - - This method is called in every assert and returns whether this particular assert has already been called. - The reason one would like to know whether this assert has already been reported or not is to not report it several - times to any logging service. Because a test-suite can consist of several tests, and certain tests can require the - test to run over several cycles it means that certain asserts could be called several times and thus we need to - keep track of which asserts we've already reported. The user of the framework should not need to care for any of - this and he/she should be able to call the asserts in any way they find suitable. - - To know what assert this is we need to check for the total combination of: - - Test message - - Test instance path - - Expecteds size (in bytes) - - Actuals size (in bytes) - - Expecteds datatype - - Actuals datatype - Theoretically we can have a situation where a test has three different asserts, each and one with the same test - message/test instance path/actuals size&datatype/expecteds size&datatype but called within the same or different - cycles. In order for us to handle all situations we need a simple algorithm that works according to: - - Keep track of how many instances the combination of test message/test instance path/expecteds size&datatype/ - actuals size&datatype we have. So for example, if we have called - Assert(Exp := [5,4,3], Act := [5,4,3], 'Hello there', 'PRG.InstanceTestSuite.Test') - two times in one cycle, we have two instances of that combination. This is done according to: - - Iterate all existing reports. - - If we have a new PLC-cycle, set the current detection-count to zero. - - If new report does not match in any of the above fields, create it (together with current PLC-cycle). - Also store the information that we have one instance of this combination and +1 on the detection-count. - - If new report matches in all of the above, +1 in the detection-count. If this detection-count is larger than - the stored detection-count for this combination, create a new report and add +1 to the storage of - the detection-count. - - - ExpectedsSize - UDINT - 32 - - - ExpectedsTypeClass - TypeClass - 16 - - - ActualsSize - UDINT - 32 - - - ActualsTypeClass - TypeClass - 16 - - - Message - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - ItemType - Output - - - - - LocationIndex - UINT - 16 - - - DataTypesNotEquals - BOOL - 8 - - - DataSizeNotEquals - BOOL - 8 - - - DataContentNotEquals - BOOL - 8 - - - CurrentCycleCount - UDINT - 32 - - - IteratorCounter - UINT - 16 - - - DetectionCountTemp - UINT - 16 - - - FoundOne - BOOL - 8 - - - AdditionalIdenticalAssert - BOOL - 8 - - - - GetNumberOfArrayAssertsForTest - UINT - 16 - - CompleteTestInstancePath - T_MaxString - 2048 - - - Counter - UINT - 16 - - - NumberOfArrayAsserts - UINT - 16 - - - - CopyDetectionCountAndResetDetectionCountInThisCycle - - IteratorCounter - UINT - 16 - - - - AddAssertArrayResult - - ExpectedsSize - UDINT - 32 - - - ExpectedsTypeClass - TypeClass - 16 - - - ActualsSize - UDINT - 32 - - - ActualsTypeClass - TypeClass - 16 - - - Message - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - - - PouType - FunctionBlock - - - - - I_AssertMessageFormatter - 64 - PVOID - - LogAssertFailure - - Expected - T_MaxString - 2048 - - - Actual - T_MaxString - 2048 - - - Message - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - - - FB_AdjustAssertFailureMessageToMax253CharLength - - This function block is responsible for making sure that the asserted test instance path and test message are not - loo long. The total printed message can not be more than 253 characters long. - - 11648 - - MsgFmtString - T_MaxString - Test instance path - 2048 - 64 - - - ItemType - Input - - - - - StringArg - T_MaxString - Test message - 2048 - 2112 - - - ItemType - Input - - - - - MsgFmtStringProcessed - T_MaxString - 2048 - 4160 - - - ItemType - Output - - - - - StringArgProcessed - T_MaxString - 2048 - 6208 - - - ItemType - Output - - - - - MsgFmtStringTemp - T_MaxString - 2048 - 8256 - - - TestNameTooLong - STRING(80) - 648 - 10304 - - ...TestName too long - - - - TestMsgTooLong - STRING(80) - 648 - 10952 - - ...TestMsg too long - - - - MSG_FMT_STRING_MAX_NUMBER_OF_CHARACTERS - INT - This is actually 254, but if StrArg-argument is used (which it is in TcUnit) it is 253. - 16 - 11600 - - 253 - - - - - PouType - FunctionBlock - - - - - FB_AdsAssertMessageFormatter - - This function block is responsible for printing the results of the assertions using the built-in - ADSLOGSTR functionality provided by the Tc2_System library. This sends the result using ADS, which - is consumed by the error list of Visual Studio. - - 128 - I_AssertMessageFormatter - - LogAssertFailure - - Expected - T_MaxString - 2048 - - - Actual - T_MaxString - 2048 - - - Message - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - AdjustAssertFailureMessageToMax253CharLength - FB_AdjustAssertFailureMessageToMax253CharLength - 11648 - - - TestInstancePathCleaned - T_MaxString - 2048 - - - TestInstancePathFinal - T_MaxString - 2048 - - - ReturnValue - DINT - 32 - - - TestInstancePathProcessed - T_MaxString - 2048 - - - MessageProcessed - T_MaxString - 2048 - - - - - PouType - FunctionBlock - - - - - FB_TestSuite - This function block is responsible for holding the internal state of the test suite. - Every test suite can have one or more tests, and every test can do one or more asserts. - It's also responsible for providing all the assert-methods for asserting different data types. - Only failed assertions are recorded. - - 33561984 - - InstancePath - T_MaxString - 2048 - 64 - - - instance-path - - - noinit - - - - - GetCurrentTaskIndex - GETCURTASKINDEX - We need to have access to specific information of the current task that this test suite - is executed in. This is for instance necessary when we need to know whether a test is - defined already. The definition of a test that is defined already is that we call on it - with the same name twice in the same cycle - 256 - 2112 - - - NumberOfTests - UINT (0..GVL_Param_TcUnit.MaxNumberOfTestsForEachTestSuite) - 16 - 2368 - - 0 - - - - Tests - FB_Test - - 1 - 100 - - 422400 - 2432 - - - TestDuplicateNameTrigger - R_TRIG - - 1 - 100 - - Rising trigger of whether we have already notified the user of that the test name pointed to by the current - position is a duplicate - 12800 - 424832 - - - TestCycleCountIndex - UDINT - - 1 - 100 - - Last cycle count index for a specific test. Used to detect whether this test has already been defined in the - current test suite - 3200 - 437632 - - - AssertResults - FB_AssertResultStatic - 24640448 - 440832 - - - AssertArrayResults - FB_AssertArrayResultStatic - 8480448 - 25081280 - - - AdsAssertMessageFormatter - FB_AdsAssertMessageFormatter - Prints the failed asserts to ADS so that Visual Studio can display the assert message. - This assert formatter can be replaced with something else than ADS - 128 - 33561728 - - - AssertMessageFormatter - I_AssertMessageFormatter - 64 - 33561856 - - - HasStartedRunning - BOOL - Indication whether this test suite has started running its tests - 8 - 33561920 - - - NumberOfOrderedTests - UINT (0..GVL_Param_TcUnit.MaxNumberOfTestsForEachTestSuite) - Number of ordered tests (created by TEST_ORDERED()) that this test suite contains - 16 - 33561936 - - - AssertEquals_LINT - - Asserts that two LINTs are equal. If they are not, an assertion error is created. - - - Expected - LINT expected value - LINT - 64 - - - Actual - LINT actual value - LINT - 64 - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - - GetNumberOfSuccessfulTests - UINT - 16 - - - AssertArrayEquals_ULINT - - Asserts that two ULINT arrays are equal. If they are not, an assertion error is created. - - - Expecteds - ULINT array with expected values - ULINT - 64 - - - variable_length_array - - - Dimensions - 1 - - - - - Actuals - ULINT array with actual values - ULINT - 64 - - - variable_length_array - - - Dimensions - 1 - - - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - Equals - BOOL - 8 - - - SizeEquals - BOOL - 8 - - - Index - DINT - 32 - - - ExpectedString - T_MaxString - 2048 - - - ActualString - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - TestInstancePath - T_MaxString - 2048 - - - SizeOfExpecteds - DINT - 32 - - - SizeOfActuals - DINT - 32 - - - ExpectedsIndex - DINT - 32 - - - ActualsIndex - DINT - 32 - - - - FindTestSuiteInstancePath - Searches for the instance path of the calling function block - T_MaxString - 2048 - - - AssertEquals_TIME - - Asserts that two TIMEs are equal. If they are not, an assertion error is created. - - - Expected - TIME expected value - TIME - 32 - - - Actual - TIME actual value - TIME - 32 - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - - AssertEquals_TIME_OF_DAY - - Asserts that two TIME_OF_DAYs are equal. If they are not, an assertion error is created. - - - Expected - TIME_OF_DAY expected value - TIME_OF_DAY - 32 - - - Actual - TIME_OF_DAY actual value - TIME_OF_DAY - 32 - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - - AssertEquals_BYTE - - Asserts that two BYTEs are equal. If they are not, an assertion error is created. - - - Expected - BYTE expected value - BYTE - 8 - - - Actual - BYTE actual value - BYTE - 8 - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - - GetNumberOfFailedTests - UINT - 16 - - Counter - UINT - 16 - - - FailedTestsCount - UINT - 16 - - - NumberOfTestsToAnalyse - UINT (UINT#1..GVL_Param_TcUnit.MaxNumberOfTestSuites) - 16 - - - NumberOfTestOverArrayLimit - UINT - 16 - - - - AssertEquals_DATE_AND_TIME - - Asserts that two DATE_AND_TIMEs are equal. If they are not, an assertion error is created. - - - Expected - DATE_AND_TIME expected value - DATE_AND_TIME - 32 - - - Actual - DATE_AND_TIME actual value - DATE_AND_TIME - 32 - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - - GetTestByPosition - This method returns the test at the n'th position, ranging from 1.. NumberOfTests - FB_Test - 4224 - - Position - UINT (1..GVL_Param_TcUnit.MaxNumberOfTestsForEachTestSuite) - 16 - - - - AssertArrayEquals_BOOL - - Asserts that two BOOL arrays are equal. If they are not, an assertion error is created. - - - Expecteds - BOOL array with expected values - BOOL - 64 - - - variable_length_array - - - Dimensions - 1 - - - - - Actuals - BOOL array with actual values - BOOL - 64 - - - variable_length_array - - - Dimensions - 1 - - - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - Equals - BOOL - 8 - - - SizeEquals - BOOL - 8 - - - Index - DINT - 32 - - - ExpectedString - T_MaxString - 2048 - - - ActualString - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - TestInstancePath - T_MaxString - 2048 - - - SizeOfExpecteds - DINT - 32 - - - SizeOfActuals - DINT - 32 - - - ExpectedsIndex - DINT - 32 - - - ActualsIndex - DINT - 32 - - - - AssertArrayEquals_BYTE - - Asserts that two BYTE arrays are equal. If they are not, an assertion error is created. - - - Expecteds - BYTE array with expected values - BYTE - 64 - - - variable_length_array - - - Dimensions - 1 - - - - - Actuals - BYTE array with actual values - BYTE - 64 - - - variable_length_array - - - Dimensions - 1 - - - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - Equals - BOOL - 8 - - - SizeEquals - BOOL - 8 - - - Index - DINT - 32 - - - ExpectedString - T_MaxString - 2048 - - - ActualString - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - TestInstancePath - T_MaxString - 2048 - - - SizeOfExpecteds - DINT - 32 - - - SizeOfActuals - DINT - 32 - - - ExpectedByteString - STRING(80) - 648 - - - ActualByteString - STRING(80) - 648 - - - ExpectedsIndex - DINT - 32 - - - ActualsIndex - DINT - 32 - - - - AssertEquals_DATE - - Asserts that two DATEs are equal. If they are not, an assertion error is created. - - - Expected - DATE expected value - DATE - 32 - - - Actual - DATE actual value - DATE - 32 - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - - AssertEquals_WORD - - Asserts that two WORDs are equal. If they are not, an assertion error is created. - - - Expected - WORD expected value - WORD - 16 - - - Actual - WORD actual value - WORD - 16 - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - - AssertArrayEquals_LINT - - Asserts that two LINT arrays are equal. If they are not, an assertion error is created. - - - Expecteds - LINT array with expected values - LINT - 64 - - - variable_length_array - - - Dimensions - 1 - - - - - Actuals - LINT array with actual values - LINT - 64 - - - variable_length_array - - - Dimensions - 1 - - - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - Equals - BOOL - 8 - - - SizeEquals - BOOL - 8 - - - Index - DINT - 32 - - - ExpectedString - T_MaxString - 2048 - - - ActualString - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - TestInstancePath - T_MaxString - 2048 - - - SizeOfExpecteds - DINT - 32 - - - SizeOfActuals - DINT - 32 - - - ExpectedsIndex - DINT - 32 - - - ActualsIndex - DINT - 32 - - - - AssertEquals_LTIME - - Asserts that two LTIMEs are equal. If they are not, an assertion error is created. - - - Expected - LTIME expected value - LTIME - 64 - - - Actual - LTIME actual value - LTIME - 64 - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - - AssertArrayEquals_UINT - - Asserts that two UINT arrays are equal. If they are not, an assertion error is created. - - - Expecteds - UINT array with expected values - UINT - 64 - - - variable_length_array - - - Dimensions - 1 - - - - - Actuals - UINT array with actual values - UINT - 64 - - - variable_length_array - - - Dimensions - 1 - - - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - Equals - BOOL - 8 - - - SizeEquals - BOOL - 8 - - - Index - DINT - 32 - - - ExpectedString - T_MaxString - 2048 - - - ActualString - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - TestInstancePath - T_MaxString - 2048 - - - SizeOfExpecteds - DINT - 32 - - - SizeOfActuals - DINT - 32 - - - ExpectedsIndex - DINT - 32 - - - ActualsIndex - DINT - 32 - - - - AssertEquals_LREAL - - Asserts that two LREALs are equal to within a positive delta. If they are not, an assertion error is created. - - - Expected - LREAL expected value - LREAL - 64 - - - Actual - LREAL actual value - LREAL - 64 - - - Delta - The maximum delta between the absolute value of expected and actual for which both numbers are still considered equal - LREAL - 64 - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - - AssertArrayEquals_LWORD - - Asserts that two LWORD arrays are equal. If they are not, an assertion error is created. - - - Expecteds - LWORD array with expected values - LWORD - 64 - - - variable_length_array - - - Dimensions - 1 - - - - - Actuals - LWORD array with actual values - LWORD - 64 - - - variable_length_array - - - Dimensions - 1 - - - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - Equals - BOOL - 8 - - - SizeEquals - BOOL - 8 - - - Index - DINT - 32 - - - ExpectedString - T_MaxString - 2048 - - - ActualString - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - TestInstancePath - T_MaxString - 2048 - - - SizeOfExpecteds - DINT - 32 - - - SizeOfActuals - DINT - 32 - - - ExpectedLWordString - STRING(80) - 648 - - - ActualLWordString - STRING(80) - 648 - - - ExpectedsIndex - DINT - 32 - - - ActualsIndex - DINT - 32 - - - - AssertEquals - - Asserts that two objects (of any type) are equal. If they are not, an assertion error is created. - For REAL and LREAL it's recommended to use the AssertEquals_REAL or AssertEquals_LREAL respectively - as these give the possibility to specify a delta between the expected and actual value. - - - Expected - Expected value - AnyType - 128 - - - anytypeclass - ANY - - - - - Actual - The value to check against expected - AnyType - 128 - - - anytypeclass - ANY - - - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - Count - DINT - 32 - - - ExpectedDataString - T_MaxString - 2048 - - - ActualDataString - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - boolExpected - BOOL - 8 - - - boolActual - BOOL - 8 - - - byteExpected - BYTE - 8 - - - byteActual - BYTE - 8 - - - dateExpected - DATE - 32 - - - dateActual - DATE - 32 - - - dateAndTimeExpected - DATE_AND_TIME - 32 - - - dateAndTimeActual - DATE_AND_TIME - 32 - - - dintExpected - DINT - 32 - - - dintActual - DINT - 32 - - - dwordExpected - DWORD - 32 - - - dwordActual - DWORD - 32 - - - intExpected - INT - 16 - - - intActual - INT - 16 - - - lintExpected - LINT - 64 - - - lintActual - LINT - 64 - - - lrealExpected - LREAL - 64 - - - lrealActual - LREAL - 64 - - - ltimeExpected - LTIME - 64 - - - ltimeActual - LTIME - 64 - - - lwordExpected - LWORD - 64 - - - lwordActual - LWORD - 64 - - - realExpected - REAL - 32 - - - realActual - REAL - 32 - - - sintExpected - SINT - 8 - - - sintActual - SINT - 8 - - - stringExpected - T_MaxString - 2048 - - - stringActual - T_MaxString - 2048 - - - wstringExpected - WSTRING(255) - 4096 - - - wstringActual - WSTRING(255) - 4096 - - - timeExpected - TIME - 32 - - - timeActual - TIME - 32 - - - timeOfDayExpected - TIME_OF_DAY - 32 - - - timeOfDayActual - TIME_OF_DAY - 32 - - - udintExpected - UDINT - 32 - - - udintActual - UDINT - 32 - - - uintExpected - UINT - 16 - - - uintActual - UINT - 16 - - - ulintExpected - ULINT - 64 - - - ulintActual - ULINT - 64 - - - usintExpected - USINT - 8 - - - usintActual - USINT - 8 - - - wordExpected - WORD - 16 - - - wordActual - WORD - 16 - - - DataTypesNotEquals - The data type of the two ANY input parameters are not equal - BOOL - 8 - - - DataSizeNotEquals - The data size of the two ANY input parameters are not equal - BOOL - 8 - - - DataContentNotEquals - The data content of the two ANY input parameters are not equal - BOOL - 8 - - - IteratorCounter - DINT - 32 - - - - hasanytype - - - - - AssertFalse - - Asserts that a condition is false. If it is not, an assertion error is created. - - - Condition - Condition to be checked - BOOL - 8 - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - - AssertEquals_SINT - - Asserts that two SINTs are equal. If they are not, an assertion error is created. - - - Expected - SINT expected value - SINT - 8 - - - Actual - SINT actual value - SINT - 8 - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - - AssertArray2dEquals_LREAL - - Asserts that two LREAL 2D-arrays are equal to within a positive delta. If they are not, an assertion error is created. - - - Expecteds - LREAL 2d array with expected values - LREAL - 64 - - - variable_length_array - - - Dimensions - 2 - - - - - Actuals - LREAL 2d array with actual values - LREAL - 64 - - - variable_length_array - - - Dimensions - 2 - - - - - Delta - The maximum delta between the value of expected and actual for which both numbers are still considered equal, proportional to the expected value in that array cell - LREAL - 64 - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - Equals - BOOL - 8 - - - SizeEquals - BOOL - 8 - - - ExpectedString - T_MaxString - 2048 - - - ActualString - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - TestInstancePath - T_MaxString - 2048 - - - DimensionIndex - Index when looping through Dimensions - USINT - 8 - - - LowerBoundExpecteds - Lower bounds of Expecteds array in each dimension - DINT - - 1 - 2 - - 64 - - - UpperBoundExpecteds - Upper bounds of Expecteds array in each dimension - DINT - - 1 - 2 - - 64 - - - LowerBoundActuals - Lower bounds of Actuals array in each dimension - DINT - - 1 - 2 - - 64 - - - UpperBoundActuals - Upper bounds of Actuals array in each dimension - DINT - - 1 - 2 - - 64 - - - SizeOfExpecteds - Size of Expecteds array in each dimension - DINT - - 1 - 2 - - 64 - - - SizeOfActuals - Size of Actuals array in each dimension - DINT - - 1 - 2 - - 64 - - - Offset - Current Array index offsets from Lower Bound in each dimension - DINT - - 1 - 2 - - 64 - - - ExpectedArrayIndex - Array of current Expected array indexes when looping through arrays - DINT - - 1 - 2 - - 64 - - - ActualArrayIndex - Array of current Actual array indexes when looping through arrays - DINT - - 1 - 2 - - 64 - - - Expected - Single expected value - LREAL - 64 - - - Actual - Single actual value - LREAL - 64 - - - __Index__0 - DINT - 32 - - - - AssertEquals_ULINT - - Asserts that two ULINTs are equal. If they are not, an assertion error is created. - - - Expected - ULINT expected value - ULINT - 64 - - - Actual - ULINT actual value - ULINT - 64 - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - - AssertEquals_BOOL - - Asserts that two BOOLs are equal. If they are not, an assertion error is created. - - - Expected - BOOL expected value - BOOL - 8 - - - Actual - BOOL actual value - BOOL - 8 - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - TestInstancePath - T_MaxString - 2048 - - - - AssertEquals_USINT - - Asserts that two USINTs are equal. If they are not, an assertion error is created. - - - Expected - USINT expected value - USINT - 8 - - - Actual - USINT actual value - USINT - 8 - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - TestInstancePath - T_MaxString - 2048 - - - - AssertEquals_LWORD - - Asserts that two LWORDs are equal. If they are not, an assertion error is created. - - - Expected - LWORD expected value - LWORD - 64 - - - Actual - LWORD actual value - LWORD - 64 - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - - AssertArrayEquals_USINT - - Asserts that two USINT arrays are equal. If they are not, an assertion error is created. - - - Expecteds - USINT array with expected values - USINT - 64 - - - variable_length_array - - - Dimensions - 1 - - - - - Actuals - USINT array with actual values - USINT - 64 - - - variable_length_array - - - Dimensions - 1 - - - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - Equals - BOOL - 8 - - - SizeEquals - BOOL - 8 - - - Index - DINT - 32 - - - ExpectedString - T_MaxString - 2048 - - - ActualString - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - TestInstancePath - T_MaxString - 2048 - - - SizeOfExpecteds - DINT - 32 - - - SizeOfActuals - DINT - 32 - - - ExpectedsIndex - DINT - 32 - - - ActualsIndex - DINT - 32 - - - - SetHasStartedRunning - - - SetTestFailed - - AssertionType - E_AssertionType - 8 - - - AssertionMessage - T_MaxString - 2048 - - - IteratorCounter - UINT - 16 - - - NumberOfTestsToAnalyse - UINT (0..GVL_Param_TcUnit.MaxNumberOfTestsForEachTestSuite) - 16 - - - - GetInstancePath - T_MaxString - 2048 - - - GetTestOrderNumber - UINT (0..GVL_Param_TcUnit.MaxNumberOfTestsForEachTestSuite) - 16 - - TestName - T_MaxString - 2048 - - - IteratorCounter - UINT - 16 - - - NumberOfTestsToAnalyse - UINT (UINT#1..GVL_Param_TcUnit.MaxNumberOfTestSuites) - 16 - - - - GetNumberOfTests - UINT - 16 - - - AssertArrayEquals_DWORD - - Asserts that two DWORD arrays are equal. If they are not, an assertion error is created. - - - Expecteds - DWORD array with expected values - DWORD - 64 - - - variable_length_array - - - Dimensions - 1 - - - - - Actuals - DWORD array with actual values - DWORD - 64 - - - variable_length_array - - - Dimensions - 1 - - - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - Equals - BOOL - 8 - - - SizeEquals - BOOL - 8 - - - Index - DINT - 32 - - - ExpectedString - T_MaxString - 2048 - - - ActualString - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - TestInstancePath - T_MaxString - 2048 - - - SizeOfExpecteds - DINT - 32 - - - SizeOfActuals - DINT - 32 - - - ExpectedDWordString - STRING(80) - 648 - - - ActualDWordString - STRING(80) - 648 - - - ExpectedsIndex - DINT - 32 - - - ActualsIndex - DINT - 32 - - - - GetHasStartedRunning - BOOL - 8 - - - AssertArrayEquals_LREAL - - Asserts that two LREAL arrays are equal to within a positive delta. If they are not, an assertion error is created. - - - Expecteds - LREAL array with expected values - LREAL - 64 - - - variable_length_array - - - Dimensions - 1 - - - - - Actuals - LREAL array with actual values - LREAL - 64 - - - variable_length_array - - - Dimensions - 1 - - - - - Delta - The maximum delta between the value of expected and actual for which both numbers are still considered equal, proportional to the expected value in that array cell - LREAL - 64 - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - Equals - BOOL - 8 - - - SizeEquals - BOOL - 8 - - - Index - DINT - 32 - - - ExpectedString - T_MaxString - 2048 - - - ActualString - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - TestInstancePath - T_MaxString - 2048 - - - SizeOfExpecteds - DINT - 32 - - - SizeOfActuals - DINT - 32 - - - ExpectedsIndex - DINT - 32 - - - ActualsIndex - DINT - 32 - - - - AssertEquals_WSTRING - - Asserts that two WSTRINGs are equal. If they are not, an assertion error is created. - - - Expected - WSTRING expected value - WSTRING(255) - 4096 - - - Actual - WSTRING actual value - WSTRING(255) - 4096 - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - - IsTestFinished - BOOL - 8 - - TestName - T_MaxString - 2048 - - - IteratorCounter - UINT - 16 - - - NumberOfTestsToAnalyse - UINT (0..GVL_Param_TcUnit.MaxNumberOfTestsForEachTestSuite) - 16 - - - - AssertArrayEquals_REAL - - Asserts that two REAL arrays are equal to within a positive delta. If they are not, an assertion error is created. - - - Expecteds - REAL array with expected values - REAL - 64 - - - variable_length_array - - - Dimensions - 1 - - - - - Actuals - REAL array with actual values - REAL - 64 - - - variable_length_array - - - Dimensions - 1 - - - - - Delta - The maximum delta between the value of expected and actual for which both numbers are still considered equal, proportional to the expected value in that array cell - REAL - 32 - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - Equals - BOOL - 8 - - - SizeEquals - BOOL - 8 - - - Index - DINT - 32 - - - ExpectedString - T_MaxString - 2048 - - - ActualString - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - TestInstancePath - T_MaxString - 2048 - - - SizeOfExpecteds - DINT - 32 - - - SizeOfActuals - DINT - 32 - - - ExpectedsIndex - DINT - 32 - - - ActualsIndex - DINT - 32 - - - - AssertEquals_DINT - - Asserts that two DINTs are equal. If they are not, an assertion error is created. - - - Expected - DINT expected value - DINT - 32 - - - Actual - DINT actual value - DINT - 32 - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - - AssertArrayEquals_DINT - - Asserts that two DINT arrays are equal. If they are not, an assertion error is created. - - - Expecteds - DINT array with expected values - DINT - 64 - - - variable_length_array - - - Dimensions - 1 - - - - - Actuals - DINT array with actual values - DINT - 64 - - - variable_length_array - - - Dimensions - 1 - - - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - Equals - BOOL - 8 - - - SizeEquals - BOOL - 8 - - - Index - DINT - 32 - - - ExpectedString - T_MaxString - 2048 - - - ActualString - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - TestInstancePath - T_MaxString - 2048 - - - SizeOfExpecteds - DINT - 32 - - - SizeOfActuals - DINT - 32 - - - ExpectedsIndex - DINT - 32 - - - ActualsIndex - DINT - 32 - - - - AssertEquals_STRING - - Asserts that two STRINGs are equal. If they are not, an assertion error is created. - - - Expected - STRING expected value - T_MaxString - 2048 - - - Actual - STRING actual value - T_MaxString - 2048 - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - - SetTestFinished - Marks the test as finished in this testsuite. - Returns TRUE if test was found, and FALSE if a test with this name was not found in this testsuite - - BOOL - 8 - - TestName - T_MaxString - 2048 - - - IteratorCounter - UINT - 16 - - - NumberOfTestsToAnalyse - UINT (0..GVL_Param_TcUnit.MaxNumberOfTestsForEachTestSuite) - 16 - - - - AreAllTestsFinished - BOOL - 8 - - Counter - UINT - 16 - - - GetCurTaskIndex - GETCURTASKINDEX - 256 - - - NumberOfTestsToAnalyse - UINT (UINT#1..GVL_Param_TcUnit.MaxNumberOfTestSuites) - 16 - - - - AssertArrayEquals_WORD - - Asserts that two WORD arrays are equal. If they are not, an assertion error is created. - - - Expecteds - WORD array with expected values - WORD - 64 - - - variable_length_array - - - Dimensions - 1 - - - - - Actuals - WORD array with actual values - WORD - 64 - - - variable_length_array - - - Dimensions - 1 - - - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - Equals - BOOL - 8 - - - SizeEquals - BOOL - 8 - - - Index - DINT - 32 - - - ExpectedString - T_MaxString - 2048 - - - ActualString - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - TestInstancePath - T_MaxString - 2048 - - - SizeOfExpecteds - DINT - 32 - - - SizeOfActuals - DINT - 32 - - - ExpectedDWordString - STRING(80) - 648 - - - ActualDWordString - STRING(80) - 648 - - - ExpectedsIndex - DINT - 32 - - - ActualsIndex - DINT - 32 - - - - AssertArray3dEquals_LREAL - - Asserts that two LREAL 3D-arrays are equal to within a positive delta. If they are not, an assertion error is created. - - - Expecteds - LREAL 3d array with expected values - LREAL - 64 - - - variable_length_array - - - Dimensions - 3 - - - - - Actuals - LREAL 3d array with actual values - LREAL - 64 - - - variable_length_array - - - Dimensions - 3 - - - - - Delta - The maximum delta between the value of expected and actual for which both numbers are still considered equal, proportional to the expected value in that array cell - LREAL - 64 - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - Equals - BOOL - 8 - - - SizeEquals - BOOL - 8 - - - ExpectedString - T_MaxString - 2048 - - - ActualString - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - TestInstancePath - T_MaxString - 2048 - - - DimensionIndex - Index when looping through Dimensions - USINT - 8 - - - LowerBoundExpecteds - Lower bounds of Expecteds array in each dimension - DINT - - 1 - 3 - - 96 - - - UpperBoundExpecteds - Upper bounds of Expecteds array in each dimension - DINT - - 1 - 3 - - 96 - - - LowerBoundActuals - Lower bounds of Actuals array in each dimension - DINT - - 1 - 3 - - 96 - - - UpperBoundActuals - Upper bounds of Actuals array in each dimension - DINT - - 1 - 3 - - 96 - - - SizeOfExpecteds - Size of Expecteds array in each dimension - DINT - - 1 - 3 - - 96 - - - SizeOfActuals - Size of Actuals array in each dimension - DINT - - 1 - 3 - - 96 - - - Offset - Current Array index offsets from Lower Bound in each dimension - DINT - - 1 - 3 - - 96 - - - ExpectedArrayIndex - Array of current Expected array indexes when looping through arrays - DINT - - 1 - 3 - - 96 - - - ActualArrayIndex - Array of current Actual array indexes when looping through arrays - DINT - - 1 - 3 - - 96 - - - Expected - Single expected value - LREAL - 64 - - - Actual - Single actual value - LREAL - 64 - - - __Index__0 - DINT - 32 - - - - AssertArrayEquals_INT - - Asserts that two INT arrays are equal. If they are not, an assertion error is created. - - - Expecteds - INT array with expected values - INT - 64 - - - variable_length_array - - - Dimensions - 1 - - - - - Actuals - INT array with actual values - INT - 64 - - - variable_length_array - - - Dimensions - 1 - - - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - Equals - BOOL - 8 - - - SizeEquals - BOOL - 8 - - - Index - DINT - 32 - - - ExpectedString - T_MaxString - 2048 - - - ActualString - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - TestInstancePath - T_MaxString - 2048 - - - SizeOfExpecteds - DINT - 32 - - - SizeOfActuals - DINT - 32 - - - ExpectedsIndex - DINT - 32 - - - ActualsIndex - DINT - 32 - - - - CalculateAndSetNumberOfAssertsForTest - - TestName - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - TotalNumberOfAsserts - UINT - 16 - - - NumberOfAsserts - UINT - 16 - - - NumberOfArrayAsserts - UINT - 16 - - - IteratorCounter - UINT - 16 - - - - GetNumberOfSkippedTests - UINT - 16 - - Counter - UINT - 16 - - - SkippedTestsCount - UINT - 16 - - - NumberOfTestsToAnalyse - UINT (UINT#1..GVL_Param_TcUnit.MaxNumberOfTestSuites) - 16 - - - - AssertEquals_DWORD - - Asserts that two DWORDs are equal. If they are not, an assertion error is created. - - - Expected - DWORD expected value - DWORD - 32 - - - Actual - DWORD actual value - DWORD - 32 - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - - AssertTrue - - Asserts that a condition is true. If it is not, an assertion error is created. - - - Condition - Condition to be checked - BOOL - 8 - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - - AssertEquals_INT - - Asserts that two INTs are equal. If they are not, an assertion error is created. - - - Expected - INT expected value - INT - 16 - - - Actual - INT actual value - INT - 16 - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - - AssertEquals_UINT - - Asserts that two UINTs are equal. If they are not, an assertion error is created. - - - Expected - UINT expected value - UINT - 16 - - - Actual - UINT actual value - UINT - 16 - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - - AssertArray2dEquals_REAL - - Asserts that two REAL 2D-arrays are equal to within a positive delta. If they are not, an assertion error is created. - - - Expecteds - REAL 2d array with expected values - REAL - 64 - - - variable_length_array - - - Dimensions - 2 - - - - - Actuals - REAL 2d array with actual values - REAL - 64 - - - variable_length_array - - - Dimensions - 2 - - - - - Delta - The maximum delta between the value of expected and actual for which both numbers are still considered equal, proportional to the expected value in that array cell - REAL - 32 - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - Equals - BOOL - 8 - - - SizeEquals - BOOL - 8 - - - ExpectedString - T_MaxString - 2048 - - - ActualString - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - TestInstancePath - T_MaxString - 2048 - - - DimensionIndex - Index when looping through Dimensions - USINT - 8 - - - LowerBoundExpecteds - Lower bounds of Expecteds array in each dimension - DINT - - 1 - 2 - - 64 - - - UpperBoundExpecteds - Upper bounds of Expecteds array in each dimension - DINT - - 1 - 2 - - 64 - - - LowerBoundActuals - Lower bounds of Actuals array in each dimension - DINT - - 1 - 2 - - 64 - - - UpperBoundActuals - Upper bounds of Actuals array in each dimension - DINT - - 1 - 2 - - 64 - - - SizeOfExpecteds - Size of Expecteds array in each dimension - DINT - - 1 - 2 - - 64 - - - SizeOfActuals - Size of Actuals array in each dimension - DINT - - 1 - 2 - - 64 - - - Offset - Current Array index offsets from Lower Bound in each dimension - DINT - - 1 - 2 - - 64 - - - ExpectedArrayIndex - Array of current Expected array indexes when looping through arrays - DINT - - 1 - 2 - - 64 - - - ActualArrayIndex - Array of current Actual array indexes when looping through arrays - DINT - - 1 - 2 - - 64 - - - Expected - Single expected value - REAL - 32 - - - Actual - Single actual value - REAL - 32 - - - __Index__0 - DINT - 32 - - - - AddTest - - TestName - T_MaxString - 2048 - - - IsTestOrdered - BOOL - 8 - - - IteratorCounter - UINT - 16 - - - ErrorMessage - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - FunctionCallResult - DINT - 32 - - - CycleCount - UDINT - 32 - - - TestWithThisNameAlreadyExists - BOOL - 8 - - - LowerCasedTestName - T_MaxString - 2048 - - - TrimmedTestName - T_MaxString - 2048 - - - IgnoreCurrentTestCase - BOOL - 8 - - - NumberOfTestsToAnalyse - UINT (UINT#1..GVL_Param_TcUnit.MaxNumberOfTestSuites) - 16 - - - - AssertArray3dEquals_REAL - - Asserts that two REAL 3D-arrays are equal to within a positive delta. If they are not, an assertion error is created. - - - Expecteds - REAL 3d array with expected values - REAL - 64 - - - variable_length_array - - - Dimensions - 3 - - - - - Actuals - REAL 3d array with actual values - REAL - 64 - - - variable_length_array - - - Dimensions - 3 - - - - - Delta - The maximum delta between the value of expected and actual for which both numbers are still considered equal, proportional to the expected value in that array cell - REAL - 32 - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - Equals - BOOL - 8 - - - SizeEquals - BOOL - 8 - - - ExpectedString - T_MaxString - 2048 - - - ActualString - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - TestInstancePath - T_MaxString - 2048 - - - DimensionIndex - Index when looping through Dimensions - USINT - 8 - - - LowerBoundExpecteds - Lower bounds of Expecteds array in each dimension - DINT - - 1 - 3 - - 96 - - - UpperBoundExpecteds - Upper bounds of Expecteds array in each dimension - DINT - - 1 - 3 - - 96 - - - LowerBoundActuals - Lower bounds of Actuals array in each dimension - DINT - - 1 - 3 - - 96 - - - UpperBoundActuals - Upper bounds of Actuals array in each dimension - DINT - - 1 - 3 - - 96 - - - SizeOfExpecteds - Size of Expecteds array in each dimension - DINT - - 1 - 3 - - 96 - - - SizeOfActuals - Size of Actuals array in each dimension - DINT - - 1 - 3 - - 96 - - - Offset - Current Array index offsets from Lower Bound in each dimension - DINT - - 1 - 3 - - 96 - - - ExpectedArrayIndex - Array of current Expected array indexes when looping through arrays - DINT - - 1 - 3 - - 96 - - - ActualArrayIndex - Array of current Actual array indexes when looping through arrays - DINT - - 1 - 3 - - 96 - - - Expected - Single expected value - REAL - 32 - - - Actual - Single actual value - REAL - 32 - - - ExpectedValueString - T_MaxString - 2048 - - - ActualValueString - T_MaxString - 2048 - - - FormatString - String formatter for output messages - FB_FormatString - 8576 - - - __Index__0 - DINT - 32 - - - - AddTestNameToInstancePath - T_MaxString - 2048 - - TestInstancePath - T_MaxString - 2048 - - - CompleteTestInstancePath - T_MaxString - 2048 - - - - AssertEquals_UDINT - - Asserts that two UDINTs are equal. If they are not, an assertion error is created. - - - Expected - UDINT expected value - UDINT - 32 - - - Actual - UDINT actual value - UDINT - 32 - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - - AssertEquals_REAL - - Asserts that two REALs are equal to within a positive delta. If they are not, an assertion error is created. - - - Expected - REAL expected value - REAL - 32 - - - Actual - REAL actual value - REAL - 32 - - - Delta - The maximum delta between the absolute value of expected and actual for which both numbers are still considered equal - REAL - 32 - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - TestInstancePath - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - - AssertArrayEquals_SINT - - Asserts that two SINT arrays are equal. If they are not, an assertion error is created. - - - Expecteds - SINT array with expected values - SINT - 64 - - - variable_length_array - - - Dimensions - 1 - - - - - Actuals - SINT array with actual values - SINT - 64 - - - variable_length_array - - - Dimensions - 1 - - - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - Equals - BOOL - 8 - - - SizeEquals - BOOL - 8 - - - Index - DINT - 32 - - - ExpectedString - T_MaxString - 2048 - - - ActualString - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - TestInstancePath - T_MaxString - 2048 - - - SizeOfExpecteds - DINT - 32 - - - SizeOfActuals - DINT - 32 - - - ExpectedsIndex - DINT - 32 - - - ActualsIndex - DINT - 32 - - - - AssertArrayEquals_UDINT - - Asserts that two UDINT arrays are equal. If they are not, an assertion error is created. - - - Expecteds - UDINT array with expected values - UDINT - 64 - - - variable_length_array - - - Dimensions - 1 - - - - - Actuals - UDINT array with actual values - UDINT - 64 - - - variable_length_array - - - Dimensions - 1 - - - - - Message - The identifying message for the assertion error - T_MaxString - 2048 - - - Equals - BOOL - 8 - - - SizeEquals - BOOL - 8 - - - Index - DINT - 32 - - - ExpectedString - T_MaxString - 2048 - - - ActualString - T_MaxString - 2048 - - - AlreadyReported - BOOL - 8 - - - TestInstancePath - T_MaxString - 2048 - - - SizeOfExpecteds - DINT - 32 - - - SizeOfActuals - DINT - 32 - - - ExpectedsIndex - DINT - 32 - - - ActualsIndex - DINT - 32 - - - - - PouType - FunctionBlock - - - call_after_init - - - reflection - - - - - ST_AdsLogStringMessage - 4128 - - MsgCtrlMask - DWORD - 32 - 0 - - - MsgFmtStr - T_MaxString - 2048 - 32 - - - StrArg - T_MaxString - 2048 - 2080 - - - - pack_mode - 1 - - - - - FB_AdsLogStringMessageFifoQueue - This function block is responsible for making sure that the ADSLOGSTR-messages to the ADS-router are transmitted - cyclically and not in a burst. The reason this is necessary is because that if too many messages are sent at the - same time some get lost and are never printed to the error list output - - 8321152 - - ArrayBuffer - BYTE - - 0 - 1040000 - - 8320000 - 64 - - - MemRingBuffer - FB_MemRingBuffer - 768 - 8320064 - - - TimerBetweenMessages - TON - 256 - 8320832 - - - .IN - true - - - .PT - T#10MS - - - - - MEM_RING_BUFFER_INTERNAL_USE_PER_DATA_RECORD - USINT - 8 - 8321088 - - 4 - - - - TIME_BETWEEN_MESSAGES - TIME - 32 - 8321120 - - T#10MS - - - - GetLogCount - UDINT - 32 - - - WriteLog - Writes a new data set into the ring buffer - - MsgCtrlMask - DWORD - 32 - - - MsgFmtStr - T_MaxString - 2048 - - - StrArg - T_MaxString - 2048 - - - Error - Buffer overflow - BOOL - 8 - - - ItemType - Output - - - - - AdsLogStringMessage - ST_AdsLogStringMessage - 4128 - - - - GetAndRemoveLogFromQueue - Reads and removes the oldest message - - AdsLogStringMessage - ST_AdsLogStringMessage - 4128 - - - ItemType - Output - - - - - Error - Buffer empty - BOOL - 8 - - - ItemType - Output - - - - - - - PouType - FunctionBlock - - - - - ST_CTRL_CYCLE_TIME_INTERPRETATION - 128 - - bInterpretCycleTimeAsTicks - BOOL - 8 - 0 - - - fBaseTime - LREAL - 64 - 64 - - - - hide - - - - - TcEventConfirmationState - 16 - INT - - NotSupported - 0 - - - NotRequired - 1 - - - WaitForConfirmation - 2 - - - Confirmed - 3 - - - Reset - 4 - - - - plcAttribute_qualified_only - - - plcAttribute_strict - - - - - - - - ITcAlarm - 32 - ITcEvent - - SetJsonAttribute - HRESULT - 32 - - sJsonAttribute - PCCH - 32 - - - - GetArguments - HRESULT - 32 - - pipArguments - ITcArguments - 32 - - - - GetIsRaised - HRESULT - 32 - - bIsRaised - BOOL32 - 32 - - - - Raise - HRESULT - 32 - - timeStamp - ULINT - 64 - - - - Clear - HRESULT - 32 - - timeStamp - ULINT - 64 - - - bResetConfirmation - BOOL32 - 32 - - - - GetConfirmationState - HRESULT - 32 - - state - TcEventConfirmationState - 32 - - - - Confirm - HRESULT - 32 - - timeStamp - ULINT - 64 - - - - - ITcAlarm2 - 32 - ITcAlarm - - GetTimeRaised - HRESULT - 32 - - timeStamp - ULINT - 32 - - - - GetTimeConfirmed - HRESULT - 32 - - timeStamp - ULINT - 32 - - - - GetTimeCleared - HRESULT - 32 - - timeStamp - ULINT - 32 - - - - - FB_TcEvent - 4288 - FB_TcEventBase - I_TcEventBase - - nTimestamp - ULINT - 64 - 163065448 - - - TcDisplayTypeGUID - 18071995-0000-0000-0000-000000000046 - - - - - __getnTimestamp - ULINT - 64 - - nTimestamp - ULINT - 64 - - - - property - - - TcDisplayTypeGUID - 18071995-0000-0000-0000-000000000046 - - - - - Release - HRESULT - 32 - - - Init - - ipEvent - ITcEvent - 64 - - - nTimestamp - ULINT - 64 - - - ipMessage - ITcMessage2 - 64 - - - ipAlarm - ITcAlarm2 - 64 - - - - conditionalshow - - - obsolete - Init is obsolete, use Init2 instead! - - - - - __getipEvent - ITcEvent - 64 - - ipEvent - ITcEvent - 64 - - - - property - - - conditionalshow - - - - - Init2 - - ipEvent - ITcEvent - 64 - - - nTimestamp - ULINT - 64 - - - ipArguments - ITcArguments - 64 - - - ipMessage - ITcMessage - 64 - - - ipAlarm - ITcAlarm - 64 - - - ipSourceInfo - ITcSourceInfo - 64 - - - - conditionalshow - - - - - - PouType - FunctionBlock - - - reflection - - - conditionalshow_all_locals - - - no_explicit_call - do not call this POU directly - - - - - I_Listener - 64 - PVOID - - OnAlarmCleared - - fbEvent - FB_TcEvent - 64 - - - - OnAlarmConfirmed - - fbEvent - FB_TcEvent - 64 - - - - OnAlarmDisposed - - fbEvent - FB_TcEvent - 64 - - - - OnAlarmRaised - - fbEvent - FB_TcEvent - 64 - - - - OnMessageSent - - fbEvent - FB_TcEvent - 64 - - - - - FB_ListenerWrapper - 4672 - ITcMessageListener - ITcAlarmListener - - TcAddRef - UDINT - 32 - - - c++_compatible - - - pack_mode - 4 - - - show - - - minimal_input_size - 4 - - - - - OnAlarmRaised - HRESULT - 32 - - ipEvent - ITcEvent - 64 - - - ipAlarm - ITcAlarm2 - 64 - - - nTimeStamp - ULINT - 64 - - - - show - - - c++_compatible - - - minimal_input_size - 4 - - - pack_mode - 4 - - - - - Unsubscribe - HRESULT - 32 - - hr - HRESULT - 32 - - - - OnAlarmCleared - HRESULT - 32 - - ipEvent - ITcEvent - 64 - - - ipAlarm - ITcAlarm2 - 64 - - - nTimeStamp - ULINT - 64 - - - - show - - - c++_compatible - - - minimal_input_size - 4 - - - pack_mode - 4 - - - - - TcQueryInterface - HRESULT - 32 - - iid - IID - 64 - - - pipItf - PVOID - 64 - - - ipMessageListener - ITcMessageListener - 64 - - - ipAlarmListener - ITcAlarmListener - 64 - - - - c++_compatible - - - pack_mode - 4 - - - show - - - minimal_input_size - 4 - - - - - OnMessageSent - HRESULT - 32 - - ipEvent - ITcEvent - 64 - - - ipMessage2 - ITcMessage2 - 64 - - - nTimeStamp - ULINT - 64 - - - - show - - - c++_compatible - - - minimal_input_size - 4 - - - pack_mode - 4 - - - - - Subscribe - HRESULT - 32 - - pipMessageFilterConfig - ITcEventFilterConfig - 64 - - - pipAlarmFilterConfig - ITcEventFilterConfig - 64 - - - hr - HRESULT - 32 - - - - TcRelease - UDINT - 32 - - - c++_compatible - - - pack_mode - 4 - - - show - - - minimal_input_size - 4 - - - - - OnAlarmConfirmed - HRESULT - 32 - - ipEvent - ITcEvent - 64 - - - ipAlarm - ITcAlarm2 - 64 - - - nTimeStamp - ULINT - 64 - - - - show - - - c++_compatible - - - minimal_input_size - 4 - - - pack_mode - 4 - - - - - OnAlarmDisposed - HRESULT - 32 - - ipEvent - ITcEvent - 64 - - - ipAlarm - ITcAlarm2 - 64 - - - nTimeStamp - ULINT - 64 - - - - show - - - c++_compatible - - - minimal_input_size - 4 - - - pack_mode - 4 - - - - - Execute - HRESULT - 32 - - ipListener - I_Listener - 64 - - - hr - HRESULT - 32 - - - - - PouType - FunctionBlock - - - c++_compatible - - - enable_dynamic_creation - - - conditionalshow_all_locals - - - no_explicit_call - do not call this POU directly - - - - - FB_ListenerBase - 192 - I_Listener - - _pListenerWrapper - FB_ListenerWrapper - 64 - 128 - - - OnAlarmRaised - - fbEvent - FB_TcEvent - 64 - - - - Unsubscribe - HRESULT - 32 - - hr - HRESULT - 32 - - - - OnAlarmCleared - - fbEvent - FB_TcEvent - 64 - - - - OnMessageSent - - fbEvent - FB_TcEvent - 64 - - - - Subscribe - HRESULT - 32 - - ipMessageFilterConfig - ITcEventFilterConfig - 64 - - - ipAlarmFilterConfig - ITcEventFilterConfig - 64 - - - hr - HRESULT - 32 - - - - OnAlarmConfirmed - - fbEvent - FB_TcEvent - 64 - - - - OnAlarmDisposed - - fbEvent - FB_TcEvent - 64 - - - - Execute - HRESULT - 32 - - hr - HRESULT - 32 - - - - - PouType - FunctionBlock - - - no_explicit_call - do not call this POU directly - - - - - E_LogEventType - 16 - INT - - ALARMCLEARED - 0 - - - ALARMCONFIRMED - 1 - - - ALARMRAISED - 2 - - - MESSAGESENT - 3 - - - - plcAttribute_qualified_only - - - plcAttribute_strict - - - - - ST_LoggingEventInfo - 86272 - - schema - STRING(80) - Message or Alarm{Cleared,Confirmed,Raised} event information - - Note that elements here do not follow the usual Hungarian notation / - variable-type-prefixing naming convention due to the member names being - used directly in the generation of the JSON document. - 648 - 0 - - twincat-event-0 - - - - plcAttribute_pytmc - pv: Schema - io: i - field: DESC Schema string - - - - - ts - LREAL - 64 - 704 - - - plcAttribute_pytmc - pv: Timestamp - io: i - field: DESC Unix timestamp - - - - - plc - STRING(80) - 648 - 768 - - - plcAttribute_pytmc - pv: Hostname - io: i - field: DESC PLC Hostname - - - - - severity - TcEventSeverity - 16 - 1424 - - - plcAttribute_pytmc - pv: Severity - io: i - field: DESC TcEventSeverity - field: ZRST Verbose - field: ONST Info - field: TWST Warning - field: THST Error - - - - - id - UDINT - 32 - 1440 - - - plcAttribute_pytmc - pv: MessageID - io: i - field: DESC TwinCAT Message ID - - - - - event_class - STRING(80) - 648 - 1472 - - - plcAttribute_pytmc - pv: EventClass - io: i - field: DESC TwinCAT Event class - - - - - msg - STRING(255) - 2048 - 2120 - - - plcAttribute_pytmc - pv: Message - io: i - - - - - source - STRING(255) - This is actually: T_MaxString - which has been expanded due to requirements for pinning global data types. - 2048 - 4168 - - - plcAttribute_pytmc - pv: Source - io: i - - - - - event_type - E_LogEventType - This is actually: STRING(Tc3_EventLogger.ParameterList.cSourceNameSize - 1) - which has been expanded due to requirements for pinning global data types. - 16 - 6224 - - - plcAttribute_pytmc - pv: EventType - io: i - field: DESC The event type - - - - - json - STRING(10000) - 80008 - 6240 - - - plcAttribute_pytmc - pv: MessageJSON - io: i - field: DESC Metadata with the message - - - - - - - - - TcEventType - 16 - INT - - Message - 0 - - - Alarm - 1 - - - - plcAttribute_qualified_only - - - plcAttribute_strict - - - - - TcComparisonOperator - 16 - INT - - EqualTo - 0 - - - NotEqualTo - 1 - - - LessThan - 2 - - - GreaterThan - 3 - - - LessThanOrEqualTo - 4 - - - GreaterThanOrEqualTo - 5 - - - - plcAttribute_qualified_only - - - plcAttribute_strict - - - - - TcEventTimeStampType - 16 - INT - - Raised - 0 - - - Confirmed - 1 - - - Cleared - 2 - - - - plcAttribute_qualified_only - - - plcAttribute_strict - - - - - TcStringComparisonOperator - 16 - INT - - EqualTo - 0 - - - NotEqualTo - 1 - - - Like - 2 - - - IsNull - 3 - - - IsNotNull - 4 - - - - plcAttribute_qualified_only - - - plcAttribute_strict - - - - - TcLogicalOperator - 16 - INT - - And - 0 - - - Or - 1 - - - - plcAttribute_qualified_only - - - plcAttribute_strict - - - - - ITcEventFilter - 32 - ITcUnknown - - Clear - HRESULT - 32 - - - AddEventTypeExpression - HRESULT - 32 - - eType - TcEventType - 16 - - - op - TcComparisonOperator - 16 - - - - AddEventClassExpression - HRESULT - 32 - - eventClass - GUID - 32 - - - op - TcComparisonOperator - 16 - - - - AddEventIdExpression - HRESULT - 32 - - eventId - UDINT - 32 - - - op - TcComparisonOperator - 16 - - - - AddSeverityExpression - HRESULT - 32 - - severity - TcEventSeverity - 16 - - - op - TcComparisonOperator - 16 - - - - AddTimeStampExpression - HRESULT - 32 - - eType - TcEventTimeStampType - 16 - - - timeStamp - ULINT - 64 - - - op - TcComparisonOperator - 16 - - - - AddSourceGuidExpression - HRESULT - 32 - - sourceGuid - GUID - 32 - - - op - TcComparisonOperator - 16 - - - - AddSourceIdExpression - HRESULT - 32 - - sourceId - UDINT - 32 - - - op - TcComparisonOperator - 16 - - - - AddSourceNameExpression - HRESULT - 32 - - sourceName - PCCH - 32 - - - op - TcStringComparisonOperator - 16 - - - - AddJsonAttributeExpression - HRESULT - 32 - - path - PCCH - 32 - - - value - PCCH - 32 - - - op - TcStringComparisonOperator - 16 - - - - AddLogicalOperator - HRESULT - 32 - - eType - TcLogicalOperator - 16 - - - - AddNotOperator - HRESULT - 32 - - - CreateExpressionGroup - HRESULT - 32 - - pipGroup - ITcEventFilter - 32 - - - - AddExpressionGroup - HRESULT - 32 - - ipGroup - ITcEventFilter - 32 - - - - Validate - HRESULT - 32 - - bResult - BOOL - 32 - - - - Clone - HRESULT - 32 - - pipClone - ITcEventFilter - 32 - - - - - ITcEventListener - 32 - ITcUnknown - - - ITcAsyncCollection - 32 - ITcAsyncResult - - Count - HRESULT - 32 - - nCount - UDINT - 32 - - - - Get - HRESULT - 32 - - nIndex - UDINT - 32 - - - pipValue - ITcUnknown - 32 - - - - - TcEventField - 16 - UINT - - Id - 0 - - - SeverityLevel - 1 - - - EventClass - 2 - - - EventClassName - 3 - - - EventId - 4 - - - EventText - 5 - - - TimeRaised - 6 - - - TimeConfirmed - 7 - - - TimeCleared - 8 - - - SourceName - 9 - - - SourceId - 10 - - - SourceGuid - 11 - - - JsonAttribute - 12 - - - - plcAttribute_qualified_only - - - plcAttribute_strict - - - - - TcEventSortOrder - 16 - INT - - Descending - 0 - - - Ascending - 1 - - - - plcAttribute_qualified_only - - - plcAttribute_strict - - - - - TcEventLimitType - 16 - UINT - - First - 0 - - - Last - 1 - - - - plcAttribute_qualified_only - - - plcAttribute_strict - - - - - TcExportTimeFormat - 16 - UINT - - Filetime - 0 - - - Iso8601 - 1 - - - IecDateAndTime - 2 - - - VariantTime - 3 - - - Localized - 4 - - - Custom - 5 - - - - plcAttribute_qualified_only - - - plcAttribute_strict - - - - - TcExportTimeType - 16 - UINT - - UTC - 0 - - - LocaleTime - 1 - - - - plcAttribute_qualified_only - - - plcAttribute_strict - - - - - TcExportTimePrecision - 16 - INT - - Floating - -1 - - - Seconds - 0 - - - Milliseconds - 3 - - - Microseconds - 6 - - - OneHundertNanoseconds - 7 - - - Nanoseconds - 9 - - - - plcAttribute_qualified_only - - - plcAttribute_strict - - - - - ITcExportSettings - 32 - ITcUnknown - - SetSorting - HRESULT - 32 - - eField - TcEventField - 16 - - - eOrder - TcEventSortOrder - 16 - - - - SetLimit - HRESULT - 32 - - eType - TcEventLimitType - 16 - - - nLimit - DINT - 32 - - - nOffset - DINT - 32 - - - - SetTimeFormat - HRESULT - 32 - - eFormat - TcExportTimeFormat - 16 - - - eType - TcExportTimeType - 16 - - - ePrecision - TcExportTimePrecision - 16 - - - nLangId - DINT - 32 - - - sFormat - PCCH - 32 - - - - AddLanguage - HRESULT - 32 - - nLangId - DINT - 32 - - - sHeader - PCCH - 32 - - - - AddField - HRESULT - 32 - - eType - TcEventField - 16 - - - sHeader - PCCH - 32 - - - sPath - PCCH - 32 - - - - CreateFilter - HRESULT - 32 - - pipFilter - ITcEventFilter - 32 - - - - AddFilter - HRESULT - 32 - - ipFilter - ITcEventFilter - 32 - - - - Clear - HRESULT - 32 - - - - ITcClearLoggedEventsSettings - 32 - ITcUnknown - - SetSorting - HRESULT - 32 - - eField - TcEventField - 16 - - - eOrder - TcEventSortOrder - 16 - - - - SetLimit - HRESULT - 32 - - eType - TcEventLimitType - 16 - - - nLimit - DINT - 32 - - - nOffset - DINT - 32 - - - - AddFilter - HRESULT - 32 - - ipFilter - ITcEventFilter - 32 - - - - Clear - HRESULT - 32 - - - - ITcRemoteEventLogger - 32 - ITcUnknown - - IsInitialized - HRESULT - 32 - - - Connect - HRESULT - 32 - - netId - AMSNETID - 32 - - - - Disconnect - HRESULT - 32 - - - IsConnected - HRESULT - 32 - - - GetAmsNetId - HRESULT - 32 - - pAmsNetId - AMSNETID - 32 - - - - ConfirmAlarms - HRESULT - 32 - - timeStamp - ULINT - 64 - - - ipFilter - ITcEventFilter - 32 - - - pipResult - ITcAsyncResult - 32 - - - - ClearAlarms - HRESULT - 32 - - timeStamp - ULINT - 64 - - - bResetConfirmation - BOOL32 - 32 - - - ipFilter - ITcEventFilter - 32 - - - pipResult - ITcAsyncResult - 32 - - - - AddListener - HRESULT - 32 - - ipListener - ITcEventListener - 32 - - - ipFilter - ITcEventFilter - 32 - - - - RemoveListener - HRESULT - 32 - - ipListener - ITcEventListener - 32 - - - - NotifyListener - HRESULT - 32 - - ipListener - ITcEventListener - 32 - - - - SendTcMessage - HRESULT - 32 - - eventClass - GUID - 32 - - - eventId - UDINT - 32 - - - severity - TcEventSeverity - 16 - - - ipSourceInfo - ITcSourceInfo - 32 - - - timeStamp - ULINT - 64 - - - ipSerializedArguments - ITcArguments - 32 - - - sJsonAttribute - PCCH - 32 - - - - GetEventText - HRESULT - 32 - - eventClass - GUID - 32 - - - eventId - UDINT - 32 - - - ipSourceInfo - ITcSourceInfo - 32 - - - ipArguments - ITcArguments - 32 - - - nLangId - DINT - 32 - - - pipResult - ITcAsyncStringResult - 32 - - - - GetEventClassName - HRESULT - 32 - - eventClass - GUID - 32 - - - nLangId - DINT - 32 - - - pipResult - ITcAsyncStringResult - 32 - - - - GetCauseRemedy - HRESULT - 32 - - eventClass - GUID - 32 - - - eventId - UDINT - 32 - - - nLangId - DINT - 32 - - - pipCollection - ITcAsyncCollection - 32 - - - - GetEventClassDetails - HRESULT - 32 - - eventClass - GUID - 32 - - - nLangId - DINT - 32 - - - pipCollection - ITcAsyncCollection - 32 - - - - GetEventDetails - HRESULT - 32 - - eventClass - GUID - 32 - - - eventId - UDINT - 32 - - - nLangId - DINT - 32 - - - pipCollection - ITcAsyncCollection - 32 - - - - GetActiveAlarms - HRESULT - 32 - - pipCollection - ITcAsyncCollection - 32 - - - - GetLoggedEvents - HRESULT - 32 - - pipSettings - ITcExportSettings - 32 - - - pipCollection - ITcAsyncCollection - 32 - - - - ClearLoggedEvents - HRESULT - 32 - - ipSettings - ITcClearLoggedEventsSettings - 32 - - - pipResult - ITcAsyncResult - 32 - - - - - - - - I_TcRemoteEventLogger - 64 - PVOID - - __getipData - ITcRemoteEventLogger - 64 - - - property - - - hide - - - - - - FB_RequestEventText - 192 - - bBusy - BOOL - 8 - 163064848 - - - bError - BOOL - 8 - 163064936 - - - hrErrorCode - HRESULT - 32 - 163064864 - - - nStringSize - UDINT - 32 - 163064912 - - - sEventText - STRING(255) - 2048 - 163064952 - - - TcEncoding - UTF-8 - - - - - __getbBusy - BOOL - 8 - - bBusy - BOOL - 8 - - - b32IsBusy - BOOL32 - 32 - - - - property - - - - - __gethrErrorCode - HRESULT - 32 - - hrErrorCode - HRESULT - 32 - - - hrError - HRESULT - 32 - - - - property - - - - - RequestRemote - HRESULT - 32 - - ipRemoteLogger - I_TcRemoteEventLogger - 64 - - - eventClass - GUID - 128 - - - nEventId - UDINT - 32 - - - nLangId - English(US)=1033 ; German(Germay)=1031 - DINT - 32 - - - ipArgs - I_TcArguments - 64 - - - ipTcRemoteLogger - ITcRemoteEventLogger - 64 - - - ipArguments - ITcArguments - 64 - - - - conditionalshow - - - - - GetString - BOOL - 8 - - sResult - STRING(80) - 64 - - - nResult - buffer size in bytes - UDINT - 32 - - - - __getnStringSize - UDINT - 32 - - nStringSize - UDINT - 32 - - - pEmpty - STRING(80) - 64 - - - - property - - - - - Clear - - - __getbError - BOOL - 8 - - bError - BOOL - 8 - - - b32HasError - BOOL32 - 32 - - - - property - - - - - Request - HRESULT - 32 - - eventClass - GUID - 128 - - - nEventId - UDINT - 32 - - - nLangId - English(US)=1033 ; German(Germay)=1031 - DINT - 32 - - - ipArgs - I_TcArguments - 64 - - - ipArguments - ITcArguments - 64 - - - - __getsEventText - STRING(255) - 2048 - - sEventText - STRING(255) - 2048 - - - - property - - - TcEncoding - UTF-8 - - - - - - PouType - FunctionBlock - - - conditionalshow_all_locals - - - no_explicit_call - do not call this POU directly - - - - - ST_PendingEvent - 86528 - - stEventInfo - ST_LoggingEventInfo - 86272 - 0 - - - pytmc - - pv: - - - - - - bInUse - BOOL - 8 - 86272 - - - fbRequestEventText - FB_RequestEventText - 192 - 86336 - - - - FB_JsonReadWriteDatatype - 192 - - initStatus - HRESULT - 32 - 64 - - E_HRESULTAdsErr.NOTINIT - - - - ItemType - Output - - - - - ipJson - ITcIecApplicationJson - 64 - 128 - - - GetJsonFromSymbol - | generates a JSON string from a given symbol (via address/size). - | Method returns TRUE if succeeded. - BOOL - 8 - - sDatatype - data type name of symbol - if unknown -> retrieve with GetDatatypeByAddreee() - STRING(80) - 64 - - - ItemType - InOut - - - - - nData - size of symbol - UDINT - 32 - - - pData - address of sxmbol - PVOID - 64 - - - nJson - size of json buffer - UDINT - 64 - - - pJson - json buffer - STRING(80) - 64 - - - hrErrorCode - HRESULT - 32 - - - ItemType - Output - - - - - - CopyJsonStringFromSymbolProperties - | Copies the full DOM document and returns its size in bytes (including the null termination). - UDINT - 32 - - sDatatype - data type name of symbol - if unknown -> retrieve with GetDatatypeNameByAddress() - STRING(80) - 64 - - - ItemType - InOut - - - - - sProperties - multiple Properties separated by '|' - STRING(80) - 64 - - - ItemType - InOut - - - - - pDoc - target string buffer where the document should be copied to - STRING(80) - 64 - - - ItemType - InOut - - - - - nDoc - size in bytes of target string buffer - UDINT - 32 - - - hrErrorCode - HRESULT - 32 - - - ItemType - Output - - - - - pTmp - STRING(80) - 64 - - - - CopySymbolNameByAddress - generates name of symbol defined by address/size and returns its size in bytes (including the null termination). - UDINT - 32 - - nData - size of symbol - UDINT - 32 - - - pData - address of symbol - PVOID - 64 - - - sName - target string buffer where the symbol name should be copied to - STRING(80) - 64 - - - ItemType - InOut - - - - - nName - size in bytes of target string buffer - UDINT - 32 - - - hrErrorCode - HRESULT - 32 - - - ItemType - Output - - - - - pTmp - STRING(80) - 64 - - - - GetSizeJsonStringFromSymbol - Returns size in bytes of the full DOM document (including the null termination). - UDINT - 32 - - sDatatype - data type name of symbol - if unknown -> retrieve with GetDatatypeByAddreee() - STRING(80) - 64 - - - ItemType - InOut - - - - - nData - size of symbol - UDINT - 32 - - - pData - address of symbol - PVOID - 64 - - - hrErrorCode - HRESULT - 32 - - - ItemType - Output - - - - - nSize - UDINT - 32 - - - pTmp - STRING(80) - 64 - - - - GetJsonStringFromSymbolProperties - | Returns the JSON string. -| If its size is more than 255 bytes an empty string is returned and the method CopyJsonStringFromSymbolProperties() has to be used. - STRING(255) - 2048 - - sDatatype - data type name of symbol - if unknown -> retrieve with GetDatatypeByAddreee() - STRING(80) - 64 - - - ItemType - InOut - - - - - sProperties - multiple Properties separated by '|' - STRING(80) - 64 - - - ItemType - InOut - - - - - hrErrorCode - HRESULT - 32 - - - ItemType - Output - - - - - nSize - UDINT - 32 - - - pTmp - STRING(80) - 64 - - - - AddJsonKeyPropertiesFromSymbol - returns TRUE if succeeded - BOOL - 8 - - fbWriter - FB_JsonSaxWriter - 64 - - - ItemType - InOut - - - - - sKey - STRING(80) - 64 - - - ItemType - InOut - - - - - sDatatype - data type name of symbol - if unknown -> retrieve with GetDatatypeByAddreee() - STRING(80) - 64 - - - ItemType - InOut - - - - - sProperties - multiple Properties separated by '|' - STRING(80) - 64 - - - ItemType - InOut - - - - - hrErrorCode - HRESULT - 32 - - - ItemType - Output - - - - - ipWriter - ITcJsonSaxWriter - 64 - - - - GetDatatypeNameByAddress - generates data type name from given symbol defined by address/size - STRING(80) - 648 - - nData - size of symbol - UDINT - 32 - - - pData - address of symbol - PVOID - 64 - - - hrErrorCode - HRESULT - 32 - - - ItemType - Output - - - - - length - UDINT - 32 - - - dataType - STRING(80) - 648 - - - - SetSymbolFromJson - | parse a json string and set values of a given symbol (via address/size). - | Method returns TRUE if succeeded. - BOOL - 8 - - sJson - json string for the symbol - STRING(80) - 64 - - - ItemType - InOut - - - - - sDatatype - data type name of symbol - if unknown -> retrieve with GetDatatypeByAddreee() - STRING(80) - 64 - - - ItemType - InOut - - - - - nData - size of symbol - UDINT - 32 - - - pData - address of symbol - PVOID - 64 - - - hrErrorCode - HRESULT - 32 - - - ItemType - Output - - - - - - GetSizeJsonStringFromSymbolProperties - Returns size in bytes of the full DOM document (including the null termination). - UDINT - 32 - - sDatatype - data type name of symbol - if unknown -> retrieve with GetDatatypeByAddreee() - STRING(80) - 64 - - - ItemType - InOut - - - - - sProperties - multiple Properties separated by '|' - STRING(80) - 64 - - - ItemType - InOut - - - - - hrErrorCode - HRESULT - 32 - - - ItemType - Output - - - - - nSize - UDINT - 32 - - - pTmp - STRING(80) - 64 - - - - GetJsonStringFromSymbol - | Returns the JSON string. -| If its size is more than 255 bytes an empty string is returned and the method CopyJsonStringFromSymbol() has to be used. - STRING(255) - 2048 - - sDatatype - data type name of symbol - if unknown -> retrieve with GetDatatypeByAddreee() - STRING(80) - 64 - - - ItemType - InOut - - - - - nData - size of symbol - UDINT - 32 - - - pData - address of symbol - PVOID - 64 - - - hrErrorCode - HRESULT - 32 - - - ItemType - Output - - - - - nSize - UDINT - 32 - - - pTmp - STRING(80) - 64 - - - - CopyJsonStringFromSymbol - | Copies the full DOM document and returns its size in bytes (including the null termination). - UDINT - 32 - - sDatatype - data type name of symbol - if unknown -> retrieve with GetDatatypeNameByAddress() - STRING(80) - 64 - - - ItemType - InOut - - - - - nData - size of symbol - UDINT - 32 - - - pData - address of symbol - PVOID - 64 - - - pDoc - target string buffer where the document should be copied to - STRING(80) - 64 - - - ItemType - InOut - - - - - nDoc - size in bytes of target string buffer - UDINT - 32 - - - hrErrorCode - HRESULT - 32 - - - ItemType - Output - - - - - pTmp - STRING(80) - 64 - - - - GetSymbolNameByAddress - generates name of symbol defined by address/size - STRING(255) - 2048 - - nData - size of symbol - UDINT - 32 - - - pData - address of symbol - PVOID - 64 - - - hrErrorCode - HRESULT - 32 - - - ItemType - Output - - - - - length - UDINT - 32 - - - - AddJsonValueFromSymbol - | generates a JSON string from a given symbol (via address/size) - | and adds it to a JSON document via the given SAX Writer. - | Method returns TRUE if succeeded. - BOOL - 8 - - fbWriter - FB_JsonSaxWriter - 64 - - - ItemType - InOut - - - - - sDatatype - data type name of symbol - if unknown -> retrieve with GetDatatypeByAddreee() - STRING(80) - 64 - - - ItemType - InOut - - - - - nData - size of symbol - UDINT - 32 - - - pData - address of sxmbol - PVOID - 64 - - - hrErrorCode - HRESULT - 32 - - - ItemType - Output - - - - - ipWriter - ITcJsonSaxWriter - 64 - - - - AddJsonKeyValueFromSymbol - returns TRUE if succeeded - BOOL - 8 - - fbWriter - FB_JsonSaxWriter - 64 - - - ItemType - InOut - - - - - sKey - STRING(80) - 64 - - - ItemType - InOut - - - - - sDatatype - data type name of symbol - if unknown -> retrieve with GetDatatypeByAddreee() - STRING(80) - 64 - - - ItemType - InOut - - - - - nData - size of symbol - UDINT - 32 - - - pData - address of sxmbol - PVOID - 64 - - - hrErrorCode - HRESULT - 32 - - - ItemType - Output - - - - - ipWriter - ITcJsonSaxWriter - 64 - - - - - PouType - FunctionBlock - - - no_explicit_call - do not call this POU directly - - - - - FB_Listener - 946624 - FB_ListenerBase - - nEventIdx - UINT - 16 - 192 - - 0 - - - - nPendingEvents - UINT - 16 - 208 - - 0 - - - - bLogToVisualStudio - BOOL - 8 - 224 - - false - - - - pytmc - - pv: LogToVisualStudio - io: io - - - - - - nCntMessagesSent - UDINT - 32 - 256 - - 0 - - - - pytmc - - pv: MessagesSent - io: i - - - - - - nCntAlarmsRaised - UDINT - 32 - 288 - - 0 - - - - pytmc - - pv: AlarmsRaised - io: i - - - - - - nCntAlarmsConfirmed - UDINT - 32 - 320 - - 0 - - - - pytmc - - pv: AlarmsConfirmed - io: i - - - - - - nCntAlarmsCleared - UDINT - 32 - 352 - - 0 - - - - pytmc - - pv: AlarmsCleared - io: i - - - - - - eMinSeverity - TcEventSeverity - 16 - 384 - - - pytmc - - pv: MinSeverity - io: io - - - - - - stEventInfo - ST_LoggingEventInfo - 64 - 448 - - - analysis - -33 - - - pytmc - - pv: Log - - - - - - stPendingEvents - ST_PendingEvent - - 0 - 10 - - 865280 - 512 - - - ipMessageConfig - ITcEventFilterConfig - 64 - 865792 - - - fbSocket - FB_ConnectionlessSocket - 64 - 865856 - - - bConfigured - BOOL - 8 - 865920 - - false - - - - nMaxEvents - UINT - The maximum number of events allowed *per-cycle* - 16 - 865936 - - 10 - - - - __FB_LISTENER__CONFIGURE__BSUBSCRIBED - BOOL - 8 - 865952 - - nt := - - - - __FB_LISTENER__PUBLISHEVENTS__FBJSON - FB_JsonSaxWriter - 384 - 865984 - - - __FB_LISTENER__PUBLISHEVENTS__FBJSONDATATYPE - FB_JsonReadWriteDatatype - 192 - 866368 - - - __FB_LISTENER__PUBLISHEVENTS__SJSONDOC - STRING(10000) - 80008 - 866560 - - - OnAlarmRaised - - fbEvent - FB_TcEvent - 64 - - - - OnAlarmConfirmed - - fbEvent - FB_TcEvent - 64 - - - - __getLogToVisualStudio - BOOL - 8 - - LogToVisualStudio - BOOL - 8 - - - - property - - - analysis - -33 - - - - - OnAlarmCleared - - fbEvent - FB_TcEvent - 64 - - - - SendMessage - HRESULT - 32 - - sMessage - STRING(80) - 64 - - - sLogStr - T_MaxString - 2048 - - - - OnMessageSent - - fbEvent - FB_TcEvent - 64 - - - - PublishEvents - HRESULT - 32 - - nEvent - UINT - 16 - - - stPendingEvent - ST_PendingEvent - 64 - - - stEventInfo - ST_LoggingEventInfo - 64 - - - fbRequestEventText - FB_RequestEventText - 64 - - - fbJson - FB_JsonSaxWriter - 384 - - - uselocation - __FB_LISTENER__PUBLISHEVENTS__FBJSON - - - - - fbJsonDataType - FB_JsonReadWriteDatatype - 192 - - - uselocation - __FB_LISTENER__PUBLISHEVENTS__FBJSONDATATYPE - - - - - sJsonDoc - STRING(10000) - 80008 - - - uselocation - __FB_LISTENER__PUBLISHEVENTS__SJSONDOC - - - - - - StoreEvent - HRESULT - 32 - - fbEvent - FB_TcEvent - 64 - - - eEventType - E_LogEventType - 16 - - - stPendingEvent - ST_PendingEvent - 64 - - - stEventInfo - ST_LoggingEventInfo - 64 - - - nFailures - UINT - 16 - - - - Configure - - Configure an event class + severity - - HRESULT - 32 - - i_EventClass - GUID - 128 - - - i_MinSeverity - TcEventSeverity - 16 - - - i_fbSocket - FB_ConnectionlessSocket - 64 - - - bSubscribed - BOOL - 8 - - - uselocation - __FB_LISTENER__CONFIGURE__BSUBSCRIBED - - - - - - __setLogToVisualStudio - - LogToVisualStudio - BOOL - 8 - - - analysis - -33 - - - - - bValue - BOOL - 8 - - - - property - - - analysis - -33 - - - - - - PouType - FunctionBlock - - - - - FB_GetHostName - The function block returns the standard host name for the local machine. - 4032 - - sNetID - T_AmsNetID - TwinCAT network address (ams net id) - 192 - 64 - - - ItemType - Input - - - - - bExecute - BOOL - Rising edge on this input activates the fb execution - 8 - 256 - - - ItemType - Input - - - - - tTimeout - TIME - Max. fb execution time - 32 - 288 - - 5000 - - - - ItemType - Input - - - - - bBusy - BOOL - 8 - 320 - - - ItemType - Output - - - - - bError - BOOL - 8 - 328 - - - ItemType - Output - - - - - nErrID - UDINT - 32 - 352 - - - ItemType - Output - - - - - sHostName - T_MaxString - The local host name - 2048 - 384 - - - ItemType - Output - - - - - fbAdsRead - ADSREAD - 1408 - 2432 - - - .PORT - 10000 - - - .IDXGRP - 702 - - - .IDXOFFS - 0 - - - - - conditionalshow - - - - - fbTrigger - R_TRIG - 128 - 3840 - - - conditionalshow - - - - - state - BYTE - 8 - 3968 - - - conditionalshow - - - - - - PouType - FunctionBlock - - - conditionalshow_all_locals - - - - - FB_GetPLCHostname - 6592 - - bEnable - BOOL - 8 - 64 - - - ItemType - Input - - - - - tRetryDelay - TIME - 32 - 96 - - T#10s - - - - ItemType - Input - - - - - sHostname - T_MaxString - 2048 - 128 - - - ItemType - Output - - - - - bDone - BOOL - 8 - 2176 - - - ItemType - Output - - - - - bError - BOOL - 8 - 2184 - - - ItemType - Output - - - - - fbGetHostName - FB_GetHostName - 4032 - 2240 - - - tRetry - TON - 256 - 6272 - - - bReset - BOOL - 8 - 6528 - - - bInitialized - BOOL - 8 - 6536 - - false - - - - - PouType - FunctionBlock - - - - - FB_GetPLCIPAddress - 102336 - - bEnable - BOOL - 8 - 64 - - - ItemType - Input - - - - - tRetryDelay - TIME - 32 - 96 - - T#10s - - - - ItemType - Input - - - - - sIPAddress - STRING(15) - 128 - 128 - - - ItemType - Output - - - - - bDone - BOOL - 8 - 256 - - - ItemType - Output - - - - - bError - BOOL - 8 - 264 - - - ItemType - Output - - - - - fbGetAdapterIP - FB_GetAdaptersInfo - Acquire IP of the correct adapter - 101632 - 320 - - - .bExecute - true - - - .sNetID - - - - - - iIndex - UDINT - 32 - 101952 - - - tRetry - TON - 256 - 102016 - - - bReset - BOOL - 8 - 102272 - - - bInitialized - BOOL - 8 - 102280 - - false - - - - - PouType - FunctionBlock - - - - - FB_LogHandler - 5798336 - - fbTcAdsListener - FB_Listener - 946624 - 64 - - - ItemType - Input - - - pytmc - - pv: ADS - - - - - - fbTcRouterListener - FB_Listener - 946624 - 946688 - - - ItemType - Input - - - pytmc - - pv: Router - - - - - - fbTcRTimeListener - FB_Listener - 946624 - 1893312 - - - ItemType - Input - - - pytmc - - pv: RTime - - - - - - fbTcSystemListener - FB_Listener - 946624 - 2839936 - - - ItemType - Input - - - pytmc - - pv: System - - - - - - fbWindowsListener - FB_Listener - 946624 - 3786560 - - - ItemType - Input - - - pytmc - - pv: Windows - - - - - - fbLCLSListener - FB_Listener - 946624 - 4733184 - - - ItemType - Input - - - pytmc - - pv: LCLS - - - - - - bInitialized - BOOL - 8 - 5679808 - - false - - - - bReadyToLog - BOOL - 8 - 5679816 - - false - - - - rtFirstLog - R_TRIG - 128 - 5679872 - - - fbGetHostName - FB_GetPLCHostname - 6592 - 5680000 - - - fbGetIP - FB_GetPLCIPAddress - 102336 - 5686592 - - - fbListener - FB_Listener - 64 - 5788928 - - - fbListeners - FB_Listener - - 0 - 6 - - 384 - 5788992 - - - eMinSeverity - TcEventSeverity - Default minimum severity for subscriptions - 16 - 5789376 - - TcEventSeverity.Verbose - - - - rtReset - R_TRIG - Reset trigger - 128 - 5789440 - - - naming - omit - - - - - bReset - BOOL - 8 - 5789568 - - - fbSocket - FB_ConnectionlessSocket - 7616 - 5789632 - - - nI - UINT - 16 - 5797248 - - - SocketEnable - BOOL - 8 - 5797264 - - - ctuSocketError - CTU - Circuit breaker for socket errors. 3 errors before it stops. - 192 - 5797312 - - - .PV - 3 - - - - - tRetryConnection - TON - Retry after an hour - 256 - 5797504 - - - .PT - T#1h - - - - - tofTrickleBreakerPre - TOF - 256 - 5797760 - - - .PT - T#1s - - - - - tonTrickleBreaker - TON - 256 - 5798016 - - - .PT - T#10s - - - - - bTripCon - BOOL - 8 - 5798272 - - - nNumListeners - UINT - 16 - 5798288 - - 6 - - - - CircuitBreaker - - - - PouType - FunctionBlock - - - - - ST_EL5042_Status - 0 - - - ST_RenishawAbsEnc - Renishaw BiSS-C absolute encoder used with an EL5042 - 128 - - Count - ULINT - Connect to encoder "Position" input - 64 - 0 - - - TcAddressType - Input - - - - - Status - ST_EL5042_Status - Status struct placeholder - 0 - 64 - - - Ref - ULINT - Encoder zero position (useful for aligned position with gantries) - 64 - 64 - - - - FB_GantryDiffVirtualLimitSwitch - 512 - - PEnc - ST_RenishawAbsEnc - Primary axis encoder (usually the upstream one) - 128 - 64 - - - ItemType - Input - - - - - SEnc - ST_RenishawAbsEnc - Secondary axis encoder (couples to the primary) - 128 - 192 - - - ItemType - Input - - - - - GantDiffTol - LINT - Gantry differenace tolerance in encoder counts - 64 - 320 - - - ItemType - Input - - - - - PLimFwd - BOOL - Primary axis forward direction enable - 8 - 384 - - - ItemType - Output - - - - - PLimBwd - BOOL - Primary axis reverse direction enable - 8 - 392 - - - ItemType - Output - - - - - SLimFwd - BOOL - Secondary axis forward direction enable - 8 - 400 - - - ItemType - Output - - - - - SLimBwd - BOOL - Secondary axis reverse direction enable - 8 - 408 - - - ItemType - Output - - - - - GantryDiff - LINT - 64 - 448 - - - - PouType - FunctionBlock - - - - - MC_BufferMode - 16 - UINT - - MC_Aborting - 0 - - - MC_Buffered - 1 - - - MC_BlendingLow - 18 - - - MC_BlendingPrevious - 19 - - - MC_BlendingNext - 20 - - - MC_BlendingHigh - 21 - - - - _E_TcNC_SlaveTypes - 16 - INT - - TCNC_SLAVETYPE_LINEAR - 1 - Lineare Kopplung (Geradengleichung) - - - TCNC_SLAVETYPE_FLYINGSAW_ONVELOCITY - 2 - diagonal synchron. Aufkoppeln schnellstens auf Geschwindigkeit - - - TCNC_SLAVETYPE_FLYINGSAW_ONPOSITION - 3 - diagonal synchron. Aufkoppeln auf Position und Geschwindigkeit - - - TCNC_SLAVETYPE_FLYINGSAW_QUADRATIC - 4 - diagonal synchron. Aufkoppeln (quadratisches Geschw.-Profil) - - - TCNC_SLAVETYPE_SYNCHRONIZING_ONVELO - 5 - synchron. Aufkoppeln instantan auf Geschwindigkeit - - - TCNC_SLAVETYPE_SYNCHRONIZING_ONPOS - 6 - synchron. Aufkoppeln auf Positionen und Geschwindigkeit - - - TCNC_SLAVETYPE_SYNCJERKSETTER_ONVELO - 7 - synchron. Aufkoppeln auf Geschwindigkeit (zeitbasiert mittels Ruck-Steller) - - - TCNC_SLAVETYPE_TABULAR - 10 - Tabellen-Kopplung ("simple/standard tabular slave") - - - TCNC_SLAVETYPE_MULTITABULAR - 11 - Tabellen-Kopplung ("multiscalable multi-tabular slave") - - - TCNC_SLAVETYPE_FLYINGMODULO_LINEAR - 12 - Modulo Kopplung auf Position und/oder Geschwindigkeit mit anschliessender Linear Kopplung ("Schuette") - - - TCNC_SLAVETYPE_MOTIONFUNCTIONTABULAR - 13 - Tabellen-Kopplung "motion functions" - - - TCNC_SLAVETYPE_UNIVERSALTABULAR - 14 - Tabellen-Kopplung, universal tabular type substitues TABULAR, MULTITABULAR and MOTIONFUNCTION - 08.07.05 - - - TCNC_SLAVETYPE_LINEAR_CYCLICCHANGES_RAMP - 15 - Lineare Kopplung (Geradengleichung) mit zyklischer Koppelfaktoraenderung - - - TCNC_SLAVETYPE_BILINEAR - 16 - 27.04.01: Zweifach Lineare Kopplung (Geradengleichung) - - - TCNC_SLAVETYPE_LINEAR_MULTIMASTER - 17 - 29.05.08: Lineare Multi-Master-Kopplung ('MC_GearInMultiMaster') - - - TCNC_SLAVETYPE_CONST_SURFACEVELO_RAMP - 18 - Verrechnete Winkelgeschwindigkeit fuer konstante Oberflaechengeschwindig. in Abhaengigkeit vom Radiusistwert des Enc.2 - - - - conditionalshow - - - - - ST_GearInOptions - 16 - - SlaveType - _E_TcNC_SlaveTypes - 16 - 0 - - - - _ST_FunctionBlockResults - 96 - - Done - BOOL - 8 - 0 - - - CommandAborted - BOOL - 8 - 8 - - - Error - BOOL - 8 - 16 - - - ErrorID - UDINT - 32 - 32 - - - displaymode - hex - - - - - BusyCounter - UDINT - busy cycles since triggering the block - 2018-01-24 KSt - 32 - 64 - - - displaymode - dec - - - - - - conditionalshow - - - - - _E_TcMC_STATES - 16 - INT - - STATE_INITIALIZATION - 100 - - - STATE_ORDER - 101 - - - STATE_RUNNING - 102 - - - STATE_WAITING - 103 - - - STATE_MOTIONCOMMANDSLOCKED - 104 - - - - conditionalshow - - - - - _ST_TcNC_CoupleSlave - 384 - - nSlaveType - UDINT - 32 - 0 - - - nMasterAxisId - UDINT - 32 - 32 - - - nMasterSubIdx - UDINT - 32 - 64 - - - nSlaveSubIdx - UDINT - 32 - 96 - - - fCoupleParam1 - LREAL - 64 - 128 - - - fCoupleParam2 - LREAL - 64 - 192 - - - fCoupleParam3 - LREAL - 64 - 256 - - - fCoupleParam4 - LREAL - 64 - 320 - - - - conditionalshow - - - - - ST_GearInDynOptions - 8 - - CCVmode - BOOL - constant circumference velocity mode - 8 - 0 - - - - MC_GearInDyn - 4416 - - Master - AXIS_REF - Reference to an axis - 64 - 64 - - - ItemType - InOut - - - - - Slave - AXIS_REF - Reference to an axis - 64 - 128 - - - ItemType - InOut - - - - - Enable - BOOL - 8 - 192 - - - ItemType - Input - - - - - GearRatio - LREAL - 64 - 256 - - 1 - - - - ItemType - Input - - - - - Acceleration - LREAL - 64 - 320 - - - ItemType - Input - - - - - Deceleration - LREAL - not used - 64 - 384 - - - ItemType - Input - - - - - Jerk - LREAL - not used - 64 - 448 - - - ItemType - Input - - - - - BufferMode - MC_BufferMode - 16 - 512 - - - ItemType - Input - - - - - Options - ST_GearInDynOptions - optional parameters - 8 - 528 - - - ItemType - Input - - - - - InGear - BOOL - 8 - 536 - - - ItemType - Output - - - - - Busy - BOOL - 8 - 544 - - - ItemType - Output - - - - - Active - BOOL - 8 - 552 - - - ItemType - Output - - - - - CommandAborted - BOOL - 8 - 560 - - - ItemType - Output - - - - - Error - BOOL - 8 - 568 - - - ItemType - Output - - - - - ErrorID - UDINT - 32 - 576 - - - ItemType - Output - - - displaymode - hex - - - - - LastExecutionResult - _ST_FunctionBlockResults - 96 - 608 - - - ADSbusy - BOOL - 8 - 704 - - - iState - _E_TcMC_STATES - 16 - 720 - - _E_TcMC_STATES.STATE_INITIALIZATION - - - - iSubState - INT - 16 - 736 - - - fbAdsWrite - ADSWRITE - 1344 - 768 - - - fbAdsRead - ADSREAD - 1408 - 2112 - - - sCouple - _ST_TcNC_CoupleSlave - 384 - 3520 - - - v_max - LREAL - 64 - 3904 - - - pa_limit - LREAL - 64 - 3968 - - - WasInGear - BOOL - 8 - 4032 - - - iAcceleration - LREAL - 64 - 4096 - - - conditionalshow - - - - - TimerStateFeedback - TON - 256 - 4160 - - - conditionalshow - - - - - - PouType - FunctionBlock - - - - - MC_GearIn - 7360 - - Master - AXIS_REF - Reference to an axis - 64 - 64 - - - ItemType - InOut - - - - - Slave - AXIS_REF - Reference to an axis - 64 - 128 - - - ItemType - InOut - - - - - Execute - BOOL - 8 - 192 - - - ItemType - Input - - - - - RatioNumerator - LREAL - changed from INT (PLCopen) to LREAL - 64 - 256 - - 1 - - - - ItemType - Input - - - - - RatioDenominator - UINT - 16 - 320 - - 1 - - - - ItemType - Input - - - - - Acceleration - LREAL - MasterValueSource : MC_SOURCE; - not available - 64 - 384 - - - ItemType - Input - - - - - Deceleration - LREAL - 64 - 448 - - - ItemType - Input - - - - - Jerk - LREAL - 64 - 512 - - - ItemType - Input - - - - - BufferMode - MC_BufferMode - 16 - 576 - - - ItemType - Input - - - - - Options - ST_GearInOptions - optional parameters - 16 - 592 - - - ItemType - Input - - - - - InGear - BOOL - 8 - 608 - - - ItemType - Output - - - - - Busy - BOOL - 8 - 616 - - - ItemType - Output - - - - - Active - BOOL - 8 - 624 - - - ItemType - Output - - - - - CommandAborted - BOOL - 8 - 632 - - - ItemType - Output - - - - - Error - BOOL - 8 - 640 - - - ItemType - Output - - - - - ErrorID - UDINT - 32 - 672 - - - ItemType - Output - - - displaymode - hex - - - - - LastExecutionResult - _ST_FunctionBlockResults - 96 - 704 - - - ADSbusy - BOOL - 8 - 800 - - - iState - _E_TcMC_STATES - 16 - 816 - - _E_TcMC_STATES.STATE_INITIALIZATION - - - - fbAdsWrite - ADSWRITE - 1344 - 832 - - - sCouple - _ST_TcNC_CoupleSlave - 384 - 2176 - - - fbOptGearInDyn - MC_GearInDyn - 4416 - 2560 - - - fbOnTrigger - R_TRIG - 128 - 6976 - - - conditionalshow - - - - - TimerStateFeedback - TON - 256 - 7104 - - - conditionalshow - - - - - ActGearInDyn - - - WriteGearRatio - - - - PouType - FunctionBlock - - - - - ST_GearOutOptions - 8 - - reserved - BOOL - 8 - 0 - - - conditionalshow - - - - - - MC_GearOut - 2112 - - Slave - AXIS_REF - Reference to an axis - 64 - 64 - - - ItemType - InOut - - - - - Execute - BOOL - 8 - 128 - - - ItemType - Input - - - - - Options - ST_GearOutOptions - optional parameters - 8 - 136 - - - ItemType - Input - - - - - Done - BOOL - 8 - 144 - - - ItemType - Output - - - - - Busy - BOOL - 8 - 152 - - - ItemType - Output - - - - - Error - BOOL - 8 - 160 - - - ItemType - Output - - - - - ErrorID - UDINT - 32 - 192 - - - ItemType - Output - - - displaymode - hex - - - - - LastExecutionResult - _ST_FunctionBlockResults - 96 - 224 - - - ADSbusy - BOOL - 8 - 320 - - - iState - _E_TcMC_STATES - 16 - 336 - - _E_TcMC_STATES.STATE_INITIALIZATION - - - - fbAdsWrite - ADSWRITE - 1344 - 384 - - - fbOnTrigger - R_TRIG - 128 - 1728 - - - conditionalshow - - - - - TimerStateFeedback - TON - 256 - 1856 - - - conditionalshow - - - - - - PouType - FunctionBlock - - - - - FB_SetEnables - 128 - - stMotionStage - ST_MotionStage - 64 - 64 - - - ItemType - InOut - - - - - - PouType - FunctionBlock - - - - - FB_GantryAutoCoupling - 10752 - - nGantryTol - LINT - 64 - 64 - - - ItemType - Input - - - - - bGantryAlreadyCoupled - BOOL - 8 - 128 - - - ItemType - Output - - - - - Master - ST_MotionStage - 64 - 192 - - - ItemType - InOut - - - - - MasterEnc - ST_RenishawAbsEnc - 64 - 256 - - - ItemType - InOut - - - - - Slave - ST_MotionStage - 64 - 320 - - - ItemType - InOut - - - - - SlaveEnc - ST_RenishawAbsEnc - 64 - 384 - - - ItemType - InOut - - - - - bExecuteCouple - BOOL - 64 - 448 - - - ItemType - InOut - - - - - bExecuteDecouple - BOOL - 64 - 512 - - - ItemType - InOut - - - - - gantry_diff_limit - FB_GantryDiffVirtualLimitSwitch - 512 - 576 - - - couple - MC_GearIn - 7360 - 1088 - - - decouple - MC_GearOut - 2112 - 8448 - - - bInitComplete - BOOL - 8 - 10560 - - - fbSetEnables - FB_SetEnables - 128 - 10624 - - - - PouType - FunctionBlock - - - - - FB_RunHOMS - 23296 - - nYupEncRef - ULINT - Encoder Reference Values - 64 - 64 - - - ItemType - Input - - - - - nYdwnEncRef - ULINT - 64 - 128 - - - ItemType - Input - - - - - nXupEncRef - ULINT - 64 - 192 - - - ItemType - Input - - - - - nXdwnEncRef - ULINT - 64 - 256 - - - ItemType - Input - - - - - nGantryTolY - LINT - Encoder counts = nm - 64 - 320 - - 50000 - - - - ItemType - Input - - - - - nGantryTolX - LINT - Encoder counts = nm - 64 - 384 - - 50000 - - - - ItemType - Input - - - - - bGantryAlreadyCoupledY - BOOL - Gantry coupling status - 8 - 448 - - - ItemType - Output - - - - - bGantryAlreadyCoupledX - BOOL - 8 - 456 - - - ItemType - Output - - - - - nCurrGantryY - LINT - Current gantry difference - 64 - 512 - - - ItemType - Output - - - - - nCurrGantryX - LINT - 64 - 576 - - - ItemType - Output - - - - - stYup - ST_MotionStage - Motor Structs - 64 - 640 - - - ItemType - InOut - - - - - stYdwn - ST_MotionStage - 64 - 704 - - - ItemType - InOut - - - - - stXup - ST_MotionStage - 64 - 768 - - - ItemType - InOut - - - - - stXdwn - ST_MotionStage - 64 - 832 - - - ItemType - InOut - - - - - stPitch - ST_MotionStage - 64 - 896 - - - ItemType - InOut - - - - - bExecuteCoupleY - BOOL - Manual coupling Gantried Axes - 64 - 960 - - - ItemType - InOut - - - - - bExecuteCoupleX - BOOL - 64 - 1024 - - - ItemType - InOut - - - - - bExecuteDecoupleY - BOOL - 64 - 1088 - - - ItemType - InOut - - - - - bExecuteDecoupleX - BOOL - 64 - 1152 - - - ItemType - InOut - - - - - bSTOEnable1 - BOOL - STO Button - 8 - 1216 - - - TcAddressType - Input - - - - - bSTOEnable2 - BOOL - 8 - 1224 - - - TcAddressType - Input - - - - - stYupEnc - ST_RenishawAbsEnc - Encoders - 128 - 1280 - - - TcAddressType - Input - - - - - stYdwnEnc - ST_RenishawAbsEnc - 128 - 1408 - - - TcAddressType - Input - - - - - stXupEnc - ST_RenishawAbsEnc - 128 - 1536 - - - TcAddressType - Input - - - - - stXdwnEnc - ST_RenishawAbsEnc - 128 - 1664 - - - TcAddressType - Input - - - - - fbAutoCoupleY - FB_GantryAutoCoupling - Autocoupling Gantried Axes - 10752 - 1792 - - - fbAutoCoupleX - FB_GantryAutoCoupling - 10752 - 12544 - - - - PouType - FunctionBlock - - - - - DUT_HOMS - 23552 - - fbRunHOMS - FB_RunHOMS - System initializiation - 23296 - 0 - - - bExecuteCoupleY - BOOL - Couple/Decouple motors - 8 - 23296 - - - pytmc - - pv: COUPLE_Y - io: o - - - - - - bExecuteDecoupleY - BOOL - 8 - 23304 - - - pytmc - - pv: DECOUPLE_Y - io: o - - - - - - bExecuteCoupleX - BOOL - 8 - 23312 - - - pytmc - - pv: COUPLE_X - io: o - - - - - - bExecuteDecoupleX - BOOL - 8 - 23320 - - - pytmc - - pv: DECOUPLE_X - io: o - - - - - - bGantryAlreadyCoupledY - BOOL - Coupling status - 8 - 23328 - - - pytmc - - pv: ALREADY_COUPLED_Y - io: i - field: ZSV MAJOR - - - - - - bGantryAlreadyCoupledX - BOOL - 8 - 23336 - - - pytmc - - pv: ALREADY_COUPLED_X - io: i - field: ZSV MAJOR - - - - - - nCurrGantryY - LINT - encoder counts = nm - 64 - 23360 - - - nCurrGantryX - LINT - encoder counts = nm - 64 - 23424 - - - fCurrGantryY_um - REAL - Y Gantry difference in um - 32 - 23488 - - - pytmc - - pv: GANTRY_Y - field: EGU um - io: i - - - - - - fCurrGantryX_um - REAL - X Gantry difference in um - 32 - 23520 - - - pytmc - - pv: GANTRY_X - field: EGU um - io: i - - - - - - - FB_LREALBuffer - 128704 - - bExecute - BOOL - If TRUE, we'll accumulate a value on this cycle. - 8 - 64 - - - ItemType - Input - - - - - fInput - LREAL - The value to accumulate. - 64 - 128 - - - ItemType - Input - - - - - arrOutput - LREAL - - 1 - 1000 - - 64000 - 192 - - - ItemType - Output - - - - - bNewArray - BOOL - 8 - 64192 - - - ItemType - Output - - - - - arrPartial - LREAL - - 1 - 1000 - - 64000 - 64256 - - - fbDataBuffer - FB_DataBuffer - 448 - 128256 - - - - PouType - FunctionBlock - - - - - FB_RMSWatch - 387520 - - fMaxRMSError - LREAL - RMS Error - 64 - 64 - - 0 - - - - ItemType - Output - - - - - fMinRMSError - LREAL - start at something huge, FB will update with any smaller measured value - 64 - 128 - - 1000 - - - - ItemType - Output - - - - - stMotionStage - ST_MotionStage - 64 - 192 - - - ItemType - InOut - - - - - fEncScalingNum - LREAL - 64 - 256 - - 1 - - - - fEncScalingDenom - LREAL - 64 - 320 - - 1 - - - - fEncOffset - LREAL - 64 - 384 - - 0 - - - - fEncScale - LREAL - 64 - 448 - - 1 - - - - fbDataEncPos - FB_LREALBuffer - ActPos Data Acquisition FB - 128704 - 512 - - - fbDataSetPos - FB_LREALBuffer - SetPos Data Acquisition FB - 128704 - 129216 - - - bExecuteDataStorage - BOOL - Take data of both ActPos and SetPos - 8 - 257920 - - true - - - - bNewEncArray - BOOL - 8 - 257928 - - - fbStats - FB_BasicStats - Calculate mean/standard deviation of ActPos - 1152 - 257984 - - - fEncMean - LREAL - 64 - 259136 - - - pytmc - - pv: MEAN - io: i - - - - - - fEncStDev - LREAL - 64 - 259200 - - - pytmc - - pv: STDEV - io: i - - - - - - fCurrRMSError - LREAL - 64 - 259264 - - 0 - - - - pytmc - - pv: RMS - io: i - - - - - - nIndex - DINT - 32 - 259328 - - - fSum - LREAL - Just for calculating rms - 64 - 259392 - - 0 - - - - fDiff - LREAL - 64 - 259456 - - 0 - - - - aEncActPos - LREAL - - 1 - 1000 - - 64000 - 259520 - - - pytmc - - pv: ACTPOSARRAY - io: i - - - - - - aEncSetPos - LREAL - - 1 - 1000 - - 64000 - 323520 - - - pytmc - - pv: SETPOSARRAY - io: i - - - - - - - PouType - FunctionBlock - - - - - FB_Index - Index FB -A. Wallace 2016-9-3 - -Why doesn't beckhoff have this as a builtin type? - -Use this thing to have a simple indexer with rollover. - - - 128 - - LowerLimit - INT - Incrementer will rollver over to this value (and initialize to this value) - 16 - 64 - - 1 - - - - ItemType - Input - - - naming - off - - - - - ValInc - INT - Incrementer increments by this value - 16 - 80 - - 1 - - - - ItemType - Input - - - - - UpperLimit - INT - Incrementer will rollover at this value to lower limit - 16 - 96 - - 1 - - - - ItemType - Input - - - - - nVal - INT - Internal incrementer value, initialized to LowerLimit - 16 - 112 - - 1 - - - - naming - off - - - - - Dec - - - Inc - - - DecVal - Decrement the counter and return new value - INT - 16 - - - IncVal - Increment the counter and return new value - INT - 16 - - - - PouType - FunctionBlock - - - - - ST_FbDiagnostics - Stuff to log messages within function blocks - 49664 - - asResults - T_MaxString - - 1 - 20 - - Diagnostic messages, use to record state changes or other important events. - 40960 - 0 - - - resultIdx - FB_Index - Incrementer, included here to facilitate using asResults - 128 - 40960 - - - .LowerLimit - 1 - - - .UpperLimit - 20 - - - - - naming - omit - - - - - fString - FB_FormatString - Use to create good log messages, similar to C++ fstring - 8576 - 41088 - - - naming - omit - - - - - - E_MotionRequest - 16 - INT - - WAIT - 0 - - - INTERRUPT - 1 - - - ABORT - 2 - - - - qualified_only - - - strict - - - - - FB_MotionRequest - 1920 - - stMotionStage - ST_MotionStage - Motor to move - 64 - 64 - - - ItemType - InOut - - - - - bExecute - BOOL - Start move on rising edge, stop move on falling edge - 8 - 128 - - - ItemType - Input - - - - - bReset - BOOL - Reset errors on rising edge - 8 - 136 - - - ItemType - Input - - - - - enumMotionRequest - E_MotionRequest - Define behavior for when the motor is already moving - 16 - 144 - - E_MotionRequest.WAIT - - - - ItemType - Input - - - - - fPos - LREAL - Goal position - 64 - 192 - - - ItemType - Input - - - - - fVel - LREAL - Move velocity - 64 - 256 - - - ItemType - Input - - - - - fAcc - LREAL - Optional acceleration - 64 - 320 - - - ItemType - Input - - - - - fDec - LREAL - Optional deceleration - 64 - 384 - - - ItemType - Input - - - - - bError - BOOL - True if in error state - 8 - 448 - - - ItemType - Output - - - - - nErrorId - UDINT - Error code - 32 - 480 - - - ItemType - Output - - - - - sErrorMessage - STRING(80) - What the error code means - 648 - 512 - - - ItemType - Output - - - - - bBusy - BOOL - If TRUE, we are moving the motor - 8 - 1160 - - - ItemType - Output - - - - - bDone - BOOL - If TRUE, we are not moving the motor and our most recent move was successful - 8 - 1168 - - - ItemType - Output - - - - - rtExec - R_TRIG - 128 - 1216 - - - ftExec - F_TRIG - 128 - 1344 - - - rtReset - R_TRIG - 128 - 1472 - - - ftBusy - F_TRIG - 128 - 1600 - - - nState - UINT - 16 - 1728 - - 0 - - - - bMyMove - BOOL - 8 - 1744 - - - bCausedError - BOOL - 8 - 1752 - - - INIT - UINT - 16 - 1760 - - 0 - - - - WAIT_EXEC - UINT - 16 - 1776 - - 1 - - - - PICK_REQUEST - UINT - 16 - 1792 - - 2 - - - - WAIT_OTHER_MOVE - UINT - 16 - 1808 - - 3 - - - - STOP_OTHER_MOVE - UINT - 16 - 1824 - - 4 - - - - START_MOVE - UINT - 16 - 1840 - - 5 - - - - WAIT_MY_MOVE - UINT - 16 - 1856 - - 6 - - - - STOP_MY_MOVE - UINT - 16 - 1872 - - 7 - - - - DONE_MOVING - UINT - 16 - 1888 - - 8 - - - - ERROR - UINT - 16 - 1904 - - 9 - - - - - PouType - FunctionBlock - - - - - MC_Reset - 1920 - - Axis - AXIS_REF - Reference to an axis - 64 - 64 - - - ItemType - InOut - - - - - Execute - BOOL - B - 8 - 128 - - - ItemType - Input - - - - - Done - BOOL - B - 8 - 136 - - - ItemType - Output - - - - - Busy - BOOL - E - 8 - 144 - - - ItemType - Output - - - - - Error - BOOL - B - 8 - 152 - - - ItemType - Output - - - - - ErrorID - UDINT - B - 32 - 160 - - - ItemType - Output - - - displaymode - hex - - - - - ADSbusy - BOOL - 8 - 192 - - - iState - _E_TcMC_STATES - 16 - 208 - - _E_TcMC_STATES.STATE_INITIALIZATION - - - - LastExecutionResult - _ST_FunctionBlockResults - 96 - 224 - - - fbOnTrigger - R_TRIG - 128 - 1792 - - - conditionalshow - - - - - - PouType - FunctionBlock - - - - - ST_PowerOptions - 0 - - - MC_Power - 960 - - Axis - AXIS_REF - Reference to an axis - 64 - 64 - - - ItemType - InOut - - - - - Enable - BOOL - B - 8 - 128 - - - ItemType - Input - - - - - Enable_Positive - BOOL - E - 8 - 136 - - - ItemType - Input - - - - - Enable_Negative - BOOL - E - 8 - 144 - - - ItemType - Input - - - - - Override - LREAL - in percent - Beckhoff proprietary input - 64 - 192 - - 100 - - - - ItemType - Input - - - - - BufferMode - MC_BufferMode - V - 16 - 256 - - - ItemType - Input - - - - - Options - ST_PowerOptions - 0 - 272 - - - ItemType - Input - - - - - Status - BOOL - B - 8 - 272 - - - ItemType - Output - - - - - Busy - BOOL - V - 8 - 280 - - - ItemType - Output - - - - - Active - BOOL - V - 8 - 288 - - - ItemType - Output - - - - - Error - BOOL - B - 8 - 296 - - - ItemType - Output - - - - - ErrorID - UDINT - E - 32 - 320 - - - ItemType - Output - - - displaymode - hex - - - - - EnableTimeout - TON - 256 - 384 - - - conditionalshow - - - - - EnableOffOnDelay - TP - 256 - 640 - - - conditionalshow - - - - - iOverride - DWORD - 32 - 896 - - - conditionalshow - - - - - - PouType - FunctionBlock - - - conditionalshow_all_locals - - - - - ST_MoveOptions - 320 - - EnableBlendingPosition - BOOL - Command activation at defined ActivationPosition - extends the buffer mode when enabled - 8 - 0 - - - BlendingPosition - LREAL - 64 - 64 - - - StartVelocity - LREAL - velocity profile options - instantaneous speed change at the beginning and at the end of the profile - 64 - 128 - - - EndVelocity - LREAL - 64 - 192 - - - IgnorePositionMonitoring - BOOL - PositionAreaMonitoring, TargetPositionMonitoring and StopMonitoring can be ignored using this flag - 20190311 - 8 - 256 - - - EnableStopPositionMonitoring - BOOL - PositionAreaMonitoring, TargetPositionMonitoring can be enabled for MC_Stop and MC_Halt commands - 20191010 - Monitoring can just be enabled if the monitoring parameters of the axis are enabled as well - The default is no monitoring for both commands even if monitoring options are enabled by axis parameters - 8 - 264 - - - - _E_TcNC_StartPosType - 16 - UINT - - TCNC_START_ABSOLUTE - 1 - Start to absolute position - - - TCNC_START_RELATIVE - 2 - Start to relative position - - - TCNC_START_ENDLESS_PLUS - 3 - Start to endless positive position - - - TCNC_START_ENDLESS_MINUS - 4 - Start to endless negative position - - - TCNC_START_MODULO - 5 - Start to modulo position - - - TCNC_START_ADDITIVE - 6 - Start to a position relative to the last recent target position - - - TCNC_START_MODULO_SHORT - 261 - Start to modulo position - - - TCNC_START_MODULO_PLUS - 517 - Start to modulo position - - - TCNC_START_MODULO_MINUS - 773 - Start to modulo position - - - TCNC_START_MODULO_CURRENT - 1029 - start to modulo position in current direction - - - TCNC_START_ABS_INTERNAL - 9 - Start to absolute position, internal use - - - TCNC_START_ENDLESSPLUS_SLOWMANUAL - 272 - manual jog mode - - - TCNC_START_ENDLESSMINUS_SLOWMANUAL - 273 - manual jog mode - - - TCNC_START_ENDLESSPLUS_FASTMANUAL - 528 - manual jog mode - - - TCNC_START_ENDLESSMINUS_FASTMANUAL - 529 - manual jog mode - - - TCNC_START_STOPANDLOCK - 4096 - stop axis and lock against any motion commands - - - TCNC_START_HALT - 8192 - halt axis - can be interrupted by any motion commands - - - TCNC_START_TORQUE_ABORT - 12288 - 20181210 Fap - halt torque control - - - TCNC_START_TORQUE_ABSOLUTE - 12289 - 20181210 Fap - Start torque control absolute - - - TCNC_START_TORQUE_RELATIVE - 12290 - 20190108 Fap - Start torque control relative NOT IMPLEMENTED - - - - conditionalshow - - - - - ST_TorqueControlOptions - 128 - - ControlMask - UDINT - optional: control mask - 32 - 0 - - - conditionalshow - - - - - Mode - UDINT - optional: mode (ENUM) - 32 - 32 - - - conditionalshow - - - - - ManualTorqueStartValue - LREAL - 64 - 64 - - - - _ST_TcNC_UnversalAxisStartRequest - 640 - - nStartType - UDINT - axis start TYPE (ABSOLUT, RELATIV, ENDLESS_PLUS, ENDLESS_MINUS, MODULO_SHORT, MODULO_PLUS, MODULO_MINUS) - 32 - 0 - - - nControlMask - UDINT - optional: control mask - 32 - 32 - - - fPosTarget - LREAL - target position - 64 - 64 - - - fVeloRequ - LREAL - required velocity - 64 - 128 - - - fAcc - LREAL - acceleration (>= 0) - 64 - 192 - - - fDec - LREAL - deceleration (>= 0) - 64 - 256 - - - fJerk - LREAL - jerk (>= 0) - 64 - 320 - - - nBufferMode - UDINT - optional: 'buffer mode' OR 'activation mode' FOR 'buffered commands' (issue #2786) - 32 - 384 - - - nReserved - UDINT - reserved - 32 - 416 - - - fBlendingPosition - LREAL - optional: activation position - 64 - 448 - - - fVeloStart - LREAL - optional: start velocity (0 <= fVeloStart <= fVeloRequ) Frage: erstmal weglassen ??? - 64 - 512 - - - fVeloEnd - LREAL - optional: end velocity (0 <= fVeloEnd <= fVeloRequ) Frage: erstmal weglassen ??? - 64 - 576 - - - - conditionalshow - - - - - _ST_TcNC_UniversalAxisTorqueStartRequest - 576 - - nStartType - UDINT - axis start TYPE (TORQUECONTINUOUS) - 32 - 0 - - - nControlMask - UDINT - optional: control mask - 32 - 32 - - - nMode - UDINT - optional: mode (ENUM) - 32 - 64 - - - nBufferMode - UDINT - 'buffer mode' OR 'activation mode' FOR 'buffered commands' (first Only ABORTING) - 32 - 96 - - - fTorque - LREAL - target torque [signed] - 64 - 128 - - - fTorqueRamp - LREAL - nc torque ramp - 64 - 192 - - - fVelocityLimitHigh - LREAL - velocity limit high [signed] - 64 - 256 - - - fVelocityLimitLow - LREAL - velocity limit low [signed] - 64 - 320 - - - fAcceleration - LREAL - acceleration (>= 0) - 64 - 384 - - - fDeceleration - LREAL - deceleration (>= 0) - 64 - 448 - - - fManualTorque - LREAL - optional: manual torque (sync value) - 64 - 512 - - - - conditionalshow - - - - - _ST_TcNC_UnversalAxisStartResponse - 32 - - nCmdNo - WORD - Continuous actual command number - 16 - 0 - - - nCmdState - WORD - Command state - 16 - 16 - - - - conditionalshow - - - - - _ST_TcNc_OperationModes - 128 - - PosAreaControl - DWORD - OpModeDWord in cyclic interface - 0 - 32 - 0 - - 1 - - - - TargetPosControl - DWORD - 1 - 32 - 32 - - 2 - - - - StopMonitoring - DWORD - 2 - Loop : DWORD := 2#0000_0000_0000_0000_0000_0000_0000_0100; - 3 - MotionControl : DWORD := 2#0000_0000_0000_0000_0000_0000_0000_1000; - 4 - PEHTimeControl : DWORD := 2#0000_0000_0000_0000_0000_0000_0001_0000; - 5 - BacklashComp : DWORD := 2#0000_0000_0000_0000_0000_0000_0010_0000; - 6 - DelayedErrorReaction - 7 - Modulo - 8 - SimulationAxis - bits 9 .. 11 reserved for supplement products - 12 - 32 - 64 - - 4096 - - - - AllowSlaveCommands - DWORD - bits 13 .. 15 reserved - 16 - PosDiffControl : DWORD := 2#0000_0000_0000_0001_0000_0000_0000_0000; - 17 - VeloDiffControl : DWORD := 2#0000_0000_0000_0010_0000_0000_0000_0000; - 18 - SoftEndMinControl : DWORD := 2#0000_0000_0000_0100_0000_0000_0000_0000; - 19 - SoftEndMaxControl: DWORD := 2#0000_0000_0000_1000_0000_0000_0000_0000; - 20 - ActPosCorrection : DWORD := 2#0000_0000_0001_0000_0000_0000_0000_0000; - 21 - 32 - 96 - - 2097152 - - - - - conditionalshow - - - - - _FB_MoveUniversalGeneric - 8448 - - Axis - AXIS_REF - Reference to an axis - 64 - 64 - - - ItemType - InOut - - - - - LastExecutionResult - _ST_FunctionBlockResults - 64 - 128 - - - ItemType - InOut - - - - - Execute - BOOL - 8 - 192 - - - ItemType - Input - - - - - StartType - _E_TcNC_StartPosType - 20110511 KSt type changed for Tc3 - 16 - 208 - - - ItemType - Input - - - - - Position - LREAL - 64 - 256 - - - ItemType - Input - - - - - Torque - LREAL - 64 - 320 - - - ItemType - Input - - - - - TorqueRamp - LREAL - 64 - 384 - - - ItemType - Input - - - - - Velocity - LREAL - 64 - 448 - - - ItemType - Input - - - - - VelocityLimitHigh - LREAL - 64 - 512 - - - ItemType - Input - - - - - VelocityLimitLow - LREAL - 64 - 576 - - - ItemType - Input - - - - - Acceleration - LREAL - 64 - 640 - - - ItemType - Input - - - - - Deceleration - LREAL - 64 - 704 - - - ItemType - Input - - - - - Jerk - LREAL - 64 - 768 - - - ItemType - Input - - - - - BufferMode - MC_BufferMode - Direction : MC_Direction := MC_Positive_Direction; - E - 16 - 832 - - - ItemType - Input - - - - - Options - ST_MoveOptions - 320 - 896 - - - ItemType - Input - - - - - OptionsTorque - ST_TorqueControlOptions - 128 - 1216 - - - ItemType - Input - - - - - Reset - BOOL - for internal use only - 8 - 1344 - - - ItemType - Input - - - - - GotoRunState - BOOL - for internal use only - 8 - 1352 - - - ItemType - Input - - - - - Done - BOOL - Same meaning as InVelocity for continous motion commands - 8 - 1360 - - - ItemType - Output - - - - - Busy - BOOL - 8 - 1368 - - - ItemType - Output - - - - - Active - BOOL - 8 - 1376 - - - ItemType - Output - - - - - CommandAborted - BOOL - 8 - 1384 - - - ItemType - Output - - - - - Error - BOOL - 8 - 1392 - - - ItemType - Output - - - - - ErrorID - UDINT - 32 - 1408 - - - ItemType - Output - - - displaymode - hex - - - - - CmdNo - UINT - 16 - 1440 - - - ItemType - Output - - - - - ADSbusy - BOOL - 8 - 1456 - - - ItemType - Output - - - - - InTorque - BOOL - 8 - 1464 - - - ItemType - Output - - - - - iState - _E_TcMC_STATES - 16 - 1472 - - _E_TcMC_STATES.STATE_INITIALIZATION - - - - sStartRequest - _ST_TcNC_UnversalAxisStartRequest - 640 - 1536 - - - sTorqueStartRequest - _ST_TcNC_UniversalAxisTorqueStartRequest - 576 - 2176 - - - sStartResponse - _ST_TcNC_UnversalAxisStartResponse - 32 - 2752 - - - fbAdsReadWrite - ADSRDWRTEX - 1792 - 2816 - - - ContinousMode - BOOL - 8 - 4608 - - - InVelocity - BOOL - 8 - 4616 - - - DiffCycleCounter - UDINT - 32 - 4640 - - - EmptyStartResponse - _ST_TcNC_UnversalAxisStartResponse - 32 - 4672 - - - COUNT_R - UDINT - 32 - 4704 - - - CounterCmdNoZero - BYTE - 8 - 4736 - - - CounterCmdNotStarted - BYTE - 8 - 4744 - - - DiffCmdNo - INT - 16 - 4752 - - - InitialNcToPlcCmdNo - UINT - 16 - 4768 - - - NcCycleCounter - BYTE - 8 - 4784 - - - LastNcCycleCounter - BYTE - 8 - 4792 - - - NcMappingCounter - BYTE - 8 - 4800 - - - NcCycleCounterAvailable - BOOL - 8 - 4808 - - - NcCycleCounterNotAvailable - BOOL - 8 - 4816 - - - NcCyclicFeedbackExpected - BOOL - 8 - 4824 - - - PlcDebugCode - DWORD - 32 - 4832 - - - AxisIsSlave - BOOL - 8 - 4864 - - - GetTaskIndex - GETCURTASKINDEX - 256 - 4928 - - - CycleCounter - UDINT - 32 - 5184 - - - BusyCounter - UDINT - 32 - 5216 - - - fbTimeOut - TON - 256 - 5248 - - - fbStopMonitoringTimeOut - TON - 256 - 5504 - - - fbTimeOutMappingCounter - TON - 256 - 5760 - - - fbOnTrigger - R_TRIG - 128 - 6016 - - - sTempMsg - STRING(255) - 2048 - 6144 - - - AccDecreasing - BOOL - 8 - 8192 - - - AccOld - LREAL - 64 - 8256 - - - OpMode - _ST_TcNc_OperationModes - 128 - 8320 - - - suppress_warning_0 - C0228 - - - - - ActMonitorStop - - - ActMonitorAbortTorque - - - ActMonitorContinousMotion - - - ActMonitorDiscreteMotion - - - ActErrorMessage - - - ActMonitorContinuousTorque - - - ActCalcDiffCmdNo - - - ActNcCycleCounter - - - - PouType - FunctionBlock - - - conditionalshow - - - - - MC_Halt - 9472 - - Axis - AXIS_REF - Reference to an axis - 64 - 64 - - - ItemType - InOut - - - - - Execute - BOOL - 8 - 128 - - - ItemType - Input - - - - - Deceleration - LREAL - 64 - 192 - - - ItemType - Input - - - - - Jerk - LREAL - 64 - 256 - - - ItemType - Input - - - - - BufferMode - MC_BufferMode - 16 - 320 - - - ItemType - Input - - - - - Options - ST_MoveOptions - optional parameters - 320 - 384 - - - ItemType - Input - - - - - Done - BOOL - 8 - 704 - - - ItemType - Output - - - - - Busy - BOOL - 8 - 712 - - - ItemType - Output - - - - - Active - BOOL - 8 - 720 - - - ItemType - Output - - - - - CommandAborted - BOOL - 8 - 728 - - - ItemType - Output - - - - - Error - BOOL - 8 - 736 - - - ItemType - Output - - - - - ErrorID - UDINT - 32 - 768 - - - ItemType - Output - - - displaymode - hex - - - - - LastExecutionResult - _ST_FunctionBlockResults - 96 - 800 - - - ADSbusy - BOOL - 8 - 896 - - - MoveGeneric - _FB_MoveUniversalGeneric - 8448 - 960 - - - CmdNo - UINT - 16 - 9408 - - - - PouType - FunctionBlock - - - - - E_JogMode - 16 - INT - - MC_JOGMODE_STANDARD_SLOW - 0 - motion with standard jog parameters for slow motion - - - MC_JOGMODE_STANDARD_FAST - 1 - motion with standard jog parameters for fast motion - - - MC_JOGMODE_CONTINOUS - 2 - axis moves as long as the jog button is pressed using parameterized dynamics - - - MC_JOGMODE_INCHING - 3 - axis moves for a certain relative distance - - - MC_JOGMODE_INCHING_MODULO - 4 - axis moves for a certain relative distance - stop position is rounded to the distance value - - - - MC_Direction - 16 - INT - - MC_Positive_Direction - 1 - - - MC_Shortest_Way - 2 - - - MC_Negative_Direction - 3 - - - MC_Current_Direction - 4 - - - MC_Undefined_Direction - 128 - - - - MC_MoveVelocity - 9600 - - Axis - AXIS_REF - Reference to an axis - 64 - 64 - - - ItemType - InOut - - - - - Execute - BOOL - 8 - 128 - - - ItemType - Input - - - - - Velocity - LREAL - ContinuousUpdate : BOOL; - not available - 64 - 192 - - - ItemType - Input - - - - - Acceleration - LREAL - 64 - 256 - - - ItemType - Input - - - - - Deceleration - LREAL - 64 - 320 - - - ItemType - Input - - - - - Jerk - LREAL - 64 - 384 - - - ItemType - Input - - - - - Direction - MC_Direction - 16 - 448 - - MC_Direction.MC_Positive_Direction - - - - ItemType - Input - - - - - BufferMode - MC_BufferMode - 16 - 464 - - - ItemType - Input - - - - - Options - ST_MoveOptions - 320 - 512 - - - ItemType - Input - - - - - InVelocity - BOOL - Commanded velocity reached - 8 - 832 - - - ItemType - Output - - - - - Busy - BOOL - 8 - 840 - - - ItemType - Output - - - - - Active - BOOL - 8 - 848 - - - ItemType - Output - - - - - CommandAborted - BOOL - 8 - 856 - - - ItemType - Output - - - - - Error - BOOL - 8 - 864 - - - ItemType - Output - - - - - ErrorID - UDINT - 32 - 896 - - - ItemType - Output - - - displaymode - hex - - - - - LastExecutionResult - _ST_FunctionBlockResults - 96 - 928 - - - ADSbusy - BOOL - 8 - 1024 - - - MoveGeneric - _FB_MoveUniversalGeneric - 8448 - 1088 - - - CmdNo - UINT - 16 - 9536 - - - - PouType - FunctionBlock - - - - - ST_McOutputs - 96 - - Done - BOOL - 8 - 0 - - - Busy - BOOL - 8 - 8 - - - Active - BOOL - 8 - 16 - - - CommandAborted - BOOL - 8 - 24 - - - Error - BOOL - 8 - 32 - - - ErrorID - UDINT - 32 - 64 - - - - MC_MoveAbsolute - 9664 - - Axis - AXIS_REF - Reference to an axis - 64 - 64 - - - ItemType - InOut - - - - - Execute - BOOL - Start the motion at rising edge - 8 - 128 - - - ItemType - Input - - - - - Position - LREAL - Target position for the motion - 64 - 192 - - - ItemType - Input - - - - - Velocity - LREAL - Value of the maximum velocity - 64 - 256 - - - ItemType - Input - - - - - Acceleration - LREAL - Value of the maximum acceleration - 64 - 320 - - - ItemType - Input - - - - - Deceleration - LREAL - Value of the maximum deceleration - 64 - 384 - - - ItemType - Input - - - - - Jerk - LREAL - Value of the maximum jerk - 64 - 448 - - - ItemType - Input - - - - - BufferMode - MC_BufferMode - Direction : MC_Direction; - not available - 16 - 512 - - - ItemType - Input - - - - - Options - ST_MoveOptions - optional parameters - 320 - 576 - - - ItemType - Input - - - - - Done - BOOL - 8 - 896 - - - ItemType - Output - - - - - Busy - BOOL - 8 - 904 - - - ItemType - Output - - - - - Active - BOOL - 8 - 912 - - - ItemType - Output - - - - - CommandAborted - BOOL - 8 - 920 - - - ItemType - Output - - - - - Error - BOOL - 8 - 928 - - - ItemType - Output - - - - - ErrorID - UDINT - 32 - 960 - - - ItemType - Output - - - displaymode - hex - - - - - LastExecutionResult - _ST_FunctionBlockResults - 96 - 992 - - - ADSbusy - BOOL - 8 - 1088 - - - MoveGeneric - _FB_MoveUniversalGeneric - 8448 - 1152 - - - CmdNo - UINT - 16 - 9600 - - - - PouType - FunctionBlock - - - - - MC_MoveRelative - 9664 - - Axis - AXIS_REF - Reference to an axis - 64 - 64 - - - ItemType - InOut - - - - - Execute - BOOL - 8 - 128 - - - ItemType - Input - - - - - Distance - LREAL - ContinuousUpdate : BOOL; - not available - 64 - 192 - - - ItemType - Input - - - - - Velocity - LREAL - 64 - 256 - - - ItemType - Input - - - - - Acceleration - LREAL - 64 - 320 - - - ItemType - Input - - - - - Deceleration - LREAL - 64 - 384 - - - ItemType - Input - - - - - Jerk - LREAL - 64 - 448 - - - ItemType - Input - - - - - BufferMode - MC_BufferMode - 16 - 512 - - - ItemType - Input - - - - - Options - ST_MoveOptions - 320 - 576 - - - ItemType - Input - - - - - Done - BOOL - 8 - 896 - - - ItemType - Output - - - - - Busy - BOOL - 8 - 904 - - - ItemType - Output - - - - - Active - BOOL - 8 - 912 - - - ItemType - Output - - - - - CommandAborted - BOOL - 8 - 920 - - - ItemType - Output - - - - - Error - BOOL - 8 - 928 - - - ItemType - Output - - - - - ErrorID - UDINT - 32 - 960 - - - ItemType - Output - - - displaymode - hex - - - - - LastExecutionResult - _ST_FunctionBlockResults - 96 - 992 - - - ADSbusy - BOOL - 8 - 1088 - - - MoveGeneric - _FB_MoveUniversalGeneric - 8448 - 1152 - - - CmdNo - UINT - 16 - 9600 - - - - PouType - FunctionBlock - - - - - MC_Jog - 48512 - - Axis - AXIS_REF - Reference to an axis - 64 - 64 - - - ItemType - InOut - - - - - JogForward - BOOL - 8 - 128 - - - ItemType - Input - - - - - JogBackwards - BOOL - 8 - 136 - - - ItemType - Input - - - - - Mode - E_JogMode - 16 - 144 - - - ItemType - Input - - - - - Position - LREAL - 64 - 192 - - - ItemType - Input - - - - - Velocity - LREAL - 64 - 256 - - - ItemType - Input - - - - - Acceleration - LREAL - 64 - 320 - - - ItemType - Input - - - - - Deceleration - LREAL - 64 - 384 - - - ItemType - Input - - - - - Jerk - LREAL - 64 - 448 - - - ItemType - Input - - - - - Done - BOOL - 8 - 512 - - - ItemType - Output - - - - - Busy - BOOL - 8 - 520 - - - ItemType - Output - - - - - Active - BOOL - 8 - 528 - - - ItemType - Output - - - - - CommandAborted - BOOL - 8 - 536 - - - ItemType - Output - - - - - Error - BOOL - 8 - 544 - - - ItemType - Output - - - - - ErrorID - UDINT - 32 - 576 - - - ItemType - Output - - - displaymode - hex - - - - - state - INT - 16 - 608 - - - LastExecutionResult - _ST_FunctionBlockResults - 96 - 640 - - - StateDWord - BOOL - 8 - 736 - - - ExecuteMoveVelocity - BOOL - 8 - 744 - - - MoveVelocity - MC_MoveVelocity - 9600 - 768 - - - MoveVelocityOut - ST_McOutputs - 96 - 10368 - - - Direction - MC_Direction - 16 - 10464 - - - ExecuteHalt - BOOL - 8 - 10480 - - - Halt - MC_Halt - 9472 - 10496 - - - HaltOut - ST_McOutputs - 96 - 19968 - - - ExecuteMoveAbsolute - BOOL - 8 - 20064 - - - MoveAbsolute - MC_MoveAbsolute - 9664 - 20096 - - - MoveAbsoluteOut - ST_McOutputs - 96 - 29760 - - - ExecuteMoveRelative - BOOL - 8 - 29856 - - - MoveRelative - MC_MoveRelative - 9664 - 29888 - - - MoveRelativeOut - ST_McOutputs - 96 - 39552 - - - JogMove - _FB_MoveUniversalGeneric - 8448 - 39680 - - - LastJogMoveResult - _ST_FunctionBlockResults - 96 - 48128 - - - ExecuteJogMove - BOOL - 8 - 48224 - - - StartType - _E_TcNC_StartPosType - 16 - 48240 - - - JogMoveOut - ST_McOutputs - 96 - 48256 - - - JogEnd - BOOL - 8 - 48352 - - - TargetPosition - LREAL - 64 - 48384 - - - modulo - LREAL - 64 - 48448 - - - ActJogMove - - - ActCheckJogEnd - - - - PouType - FunctionBlock - - - - - MC_MoveModulo - 9792 - - Axis - AXIS_REF - Reference to an axis - 64 - 64 - - - ItemType - InOut - - - - - Execute - BOOL - 8 - 128 - - - ItemType - Input - - - - - Position - LREAL - 64 - 192 - - - ItemType - Input - - - - - Velocity - LREAL - 64 - 256 - - - ItemType - Input - - - - - Acceleration - LREAL - 64 - 320 - - - ItemType - Input - - - - - Deceleration - LREAL - 64 - 384 - - - ItemType - Input - - - - - Jerk - LREAL - 64 - 448 - - - ItemType - Input - - - - - Direction - MC_Direction - modulo shortest way, modulo positive, modulo negative - 16 - 512 - - - ItemType - Input - - - - - BufferMode - MC_BufferMode - E - 16 - 528 - - - ItemType - Input - - - - - Options - ST_MoveOptions - 320 - 576 - - - ItemType - Input - - - - - Done - BOOL - 8 - 896 - - - ItemType - Output - - - - - Busy - BOOL - 8 - 904 - - - ItemType - Output - - - - - Active - BOOL - 8 - 912 - - - ItemType - Output - - - - - CommandAborted - BOOL - 8 - 920 - - - ItemType - Output - - - - - Error - BOOL - 8 - 928 - - - ItemType - Output - - - - - ErrorID - UDINT - 32 - 960 - - - ItemType - Output - - - displaymode - hex - - - - - LastExecutionResult - _ST_FunctionBlockResults - 96 - 992 - - - ADSbusy - BOOL - 8 - 1088 - - - MoveGeneric - _FB_MoveUniversalGeneric - 8448 - 1152 - - - StartType - _E_TcNC_StartPosType - 16 - 9600 - - - CmdNo - UINT - 16 - 9616 - - - TriggerExecute - R_TRIG - 128 - 9664 - - - MC_MoveModuloCall - - - - PouType - FunctionBlock - - - - - MC_HomingMode - 16 - INT - - MC_DefaultHoming - 0 - default homing as defined in the SystemManager encoder parameters - - - MC_AbsSwitch - 1 - not implemented - Absolute Switch homing plus Limit switches - - - MC_LimitSwitch - 2 - not implemented - Homing against Limit switches - - - MC_RefPulse - 3 - not implemented - Homing using encoder Reference Pulse "Zero Mark" - - - MC_Direct - 4 - Static Homing forcing position from user reference - - - MC_Absolute - 5 - not implemented - Static Homing forcing position from absolute encoder - - - MC_Block - 6 - not implemented - Homing against hardware parts blocking movement - - - MC_ForceCalibration - 7 - set the calibration flag without performing any motion or changing the position - - - MC_ResetCalibration - 8 - resets the calibration flag without performing any motion or changing the position - - - - E_EncoderReferenceMode - 32 - UDINT - - ENCODERREFERENCEMODE_DEFAULT - 0 - - - ENCODERREFERENCEMODE_PLCCAM - 1 - - - ENCODERREFERENCEMODE_HARDWARESYNC - 2 - - - ENCODERREFERENCEMODE_HARDWARELATCHPOS - 3 - - - ENCODERREFERENCEMODE_HARDWARELATCHNEG - 4 - - - ENCODERREFERENCEMODE_SOFTWARESYNC - 5 - - - ENCODERREFERENCEMODE_SOFTDRIVELATCHPOS - 6 - - - ENCODERREFERENCEMODE_SOFTDRIVELATCHNEG - 7 - - - ENCODERREFERENCEMODE_APPLICATION - 20 - - - - ST_HomingOptions - 320 - - ClearPositionLag - BOOL - set actual and set position to same value and clear the position lag - 8 - 0 - - - SearchDirection - MC_Direction - 16 - 16 - - MC_Direction.MC_Undefined_Direction - - - - SearchVelocity - LREAL - 64 - 64 - - - SyncDirection - MC_Direction - 16 - 128 - - MC_Direction.MC_Undefined_Direction - - - - SyncVelocity - LREAL - 64 - 192 - - - ReferenceMode - E_EncoderReferenceMode - 32 - 256 - - E_EncoderReferenceMode.ENCODERREFERENCEMODE_DEFAULT - - - - - ST_SetPositionOptions - 32 - - ClearPositionLag - BOOL - set actual and set position to same value and clear the position lag - 8 - 0 - - - SelectEncoderIndex - BOOL - selects an encoder with index 0..n explicitly if enabled - selction by EncoderIndex below - 8 - 8 - - - EncoderIndex - UINT - encoder with index 0..n, 0 is first encoder - valid only if SelectEncoderIndex=TRUE - 16 - 16 - - - - _ST_TcNC_SetPosOnTheFly - 256 - - nPosSetType - UDINT - Absolute, Relative - added KSt 2009-01-28 - 32 - 0 - - - nCtrlDWord - UDINT - added KSt 2009-01-28 - 32 - 32 - - - fPreviousActualPos - LREAL - value not used any longer since 2009-01-28 - 64 - 64 - - - fNewActualPos - LREAL - 64 - 128 - - - nReserved - DWORD - - 1 - 2 - - added KSt 2009-01-28 - 64 - 192 - - - - conditionalshow - - - - - MC_SetPosition - 2240 - - Axis - AXIS_REF - Reference to an axis - 64 - 64 - - - ItemType - InOut - - - - - Execute - BOOL - Start setting position in axis - 8 - 128 - - - ItemType - Input - - - - - Position - LREAL - Position unit [u] (Means ‘Distance’ if Mode = RELATIVE) - 64 - 192 - - - ItemType - Input - - - - - Mode - BOOL - RELATIVE =True, ABSOLUTE = False (Default) - 8 - 256 - - - ItemType - Input - - - - - Options - ST_SetPositionOptions - Beckhoff proprietary input - 32 - 272 - - - ItemType - Input - - - - - Done - BOOL - Position has new value - 8 - 304 - - - ItemType - Output - - - - - Busy - BOOL - Shows that the Function Block is not finished - 8 - 312 - - - ItemType - Output - - - - - Error - BOOL - Signals that an error has occurred within the Function Block - 8 - 320 - - - ItemType - Output - - - - - ErrorID - UDINT - Error identification - 32 - 352 - - - ItemType - Output - - - displaymode - hex - - - - - ADSbusy - BOOL - 8 - 384 - - - stSetPos - _ST_TcNC_SetPosOnTheFly - 256 - 448 - - - iState - _E_TcMC_STATES - 16 - 704 - - _E_TcMC_STATES.STATE_INITIALIZATION - - - - fbAdsWrite - ADSWRITE - 1344 - 768 - - - fbOnTrigger - R_TRIG - 128 - 2112 - - - conditionalshow - - - - - - PouType - FunctionBlock - - - - - MC_Home - 8064 - - Axis - AXIS_REF - Reference to an axis - 64 - 64 - - - ItemType - InOut - - - - - Execute - BOOL - 8 - 128 - - - ItemType - Input - - - - - Position - LREAL - 64 - 192 - - 1E+307 - - - - ItemType - Input - - - - - HomingMode - MC_HomingMode - 16 - 256 - - - ItemType - Input - - - - - BufferMode - MC_BufferMode - 16 - 272 - - - ItemType - Input - - - - - Options - ST_HomingOptions - Beckhoff proprietary input - 320 - 320 - - - ItemType - Input - - - - - bCalibrationCam - BOOL - Beckhoff proprietary input - 8 - 640 - - - ItemType - Input - - - - - Done - BOOL - 8 - 648 - - - ItemType - Output - - - - - Busy - BOOL - 8 - 656 - - - ItemType - Output - - - - - Active - BOOL - 8 - 664 - - - ItemType - Output - - - - - CommandAborted - BOOL - 8 - 672 - - - ItemType - Output - - - - - Error - BOOL - 8 - 680 - - - ItemType - Output - - - - - ErrorID - UDINT - 32 - 704 - - - ItemType - Output - - - displaymode - hex - - - - - LastExecutionResult - _ST_FunctionBlockResults - 96 - 736 - - - conditionalshow - - - - - ADSbusy - BOOL - 8 - 832 - - - conditionalshow - - - - - iState - _E_TcMC_STATES - 16 - 848 - - _E_TcMC_STATES.STATE_INITIALIZATION - - - - conditionalshow - - - - - iSubState - INT - 16 - 864 - - - conditionalshow - - - - - fbAdsWriteCmd - ADSWRITE - 1344 - 896 - - - conditionalshow - - - - - fbAdsWriteParameter - ADSWRITE - 1344 - 2240 - - - conditionalshow - - - - - fbAdsReadRefPos - ADSREAD - 1408 - 3584 - - - conditionalshow - - - - - ReferenceFlagValue - UDINT - 32 - 4992 - - - conditionalshow - - - - - fbSetPosition - MC_SetPosition - 2240 - 5056 - - - conditionalshow - - - - - CalibrationValue - LREAL - 64 - 7296 - - - conditionalshow - - - - - SearchDirection - UINT - 16 - 7360 - - - conditionalshow - - - - - SearchVelocity - LREAL - 64 - 7424 - - - conditionalshow - - - - - SyncDirection - UINT - 16 - 7488 - - - conditionalshow - - - - - SyncVelocity - LREAL - 64 - 7552 - - - conditionalshow - - - - - ReferenceMode - E_EncoderReferenceMode - 32 - 7616 - - - conditionalshow - - - - - fbTrigger - R_TRIG - 128 - 7680 - - - conditionalshow - - - - - fbTimeOut - TON - 256 - 7808 - - - conditionalshow - - - - - - PouType - FunctionBlock - - - conditionalshow_all_locals - - - - - FB_WriteParameterInNc_v1_00 - 1728 - - bExecute - BOOL - 8 - 64 - - - ItemType - Input - - - - - nDeviceGroup - UDINT - 16#4000=Axisdata, 16#5000=Encoderdata, 16#6000=Controldata, 16#7000=Drivedata - 32 - 96 - - - ItemType - Input - - - - - nIndexOffset - UDINT - 32 - 128 - - - ItemType - Input - - - - - nData - DWORD - 32 - 160 - - - ItemType - Input - - - - - bBusy - BOOL - 8 - 192 - - - ItemType - Output - - - - - bDone - BOOL - 8 - 200 - - - ItemType - Output - - - - - bError - BOOL - 8 - 208 - - - ItemType - Output - - - - - nErrorId - UDINT - 32 - 224 - - - ItemType - Output - - - - - Axis - AXIS_REF - 64 - 256 - - - ItemType - InOut - - - - - nState - UINT - 16 - 320 - - - fbADSWRITE - ADSWRITE - 1344 - 384 - - - - PouType - FunctionBlock - - - - - FB_WriteFloatParameter - 1792 - - bExecute - BOOL - 8 - 64 - - - ItemType - Input - - - - - nDeviceGroup - UDINT - 16#4000=Axisdata, 16#5000=Encoderdata, 16#6000=Controldata, 16#7000=Drivedata - 32 - 96 - - - ItemType - Input - - - - - nIndexOffset - UDINT - 32 - 128 - - - ItemType - Input - - - - - nData - LREAL - 64 - 192 - - - ItemType - Input - - - - - bBusy - BOOL - 8 - 256 - - - ItemType - Output - - - - - bDone - BOOL - 8 - 264 - - - ItemType - Output - - - - - bError - BOOL - 8 - 272 - - - ItemType - Output - - - - - nErrorId - UDINT - 32 - 288 - - - ItemType - Output - - - - - Axis - AXIS_REF - 64 - 320 - - - ItemType - InOut - - - - - nState - UINT - 16 - 384 - - - fbADSWRITE - ADSWRITE - 1344 - 448 - - - - PouType - FunctionBlock - - - - - FB_HomeWriteNcVelocities - 4096 - - En - BOOL - 8 - 64 - - - ItemType - Input - - - - - bReset - BOOL - 8 - 72 - - - ItemType - Input - - - - - bExecute - BOOL - 8 - 80 - - - ItemType - Input - - - - - fVelocityToCam - LREAL - 64 - 128 - - - ItemType - Input - - - - - fVelocityFromCam - LREAL - 64 - 192 - - - ItemType - Input - - - - - Axis - AXIS_REF - 64 - 256 - - - ItemType - InOut - - - - - EnO - BOOL - 8 - 320 - - - ItemType - Output - - - - - bBusy - BOOL - 8 - 328 - - - ItemType - Output - - - - - bDone - BOOL - 8 - 336 - - - ItemType - Output - - - - - bError - BOOL - 8 - 344 - - - ItemType - Output - - - - - nErrorId - UDINT - 32 - 352 - - - ItemType - Output - - - - - fbExecuteRiseEdge - R_TRIG - 128 - 384 - - - fbWriteVelocityToCam - FB_WriteFloatParameter - 1792 - 512 - - - fbWriteVelocityFromCam - FB_WriteFloatParameter - 1792 - 2304 - - - - PouType - FunctionBlock - - - - - FB_HomeToSwitch - 18048 - - En - BOOL - 8 - 64 - - - ItemType - Input - - - - - bReset - BOOL - 8 - 72 - - - ItemType - Input - - - - - bExecute - BOOL - 8 - 80 - - - ItemType - Input - - - - - bCamSensor - BOOL - 8 - 88 - - - ItemType - Input - - - - - nSearchDirTwoardsCam - MC_Direction - 16 - 96 - - - ItemType - Input - - - - - nSearchDirOffCam - MC_Direction - 16 - 112 - - - ItemType - Input - - - - - fHomePosition - LREAL - 64 - 128 - - - ItemType - Input - - - - - fVelocityToCamNC - LREAL - Velcoity when searching for cam - 64 - 192 - - - ItemType - Input - - - - - fVelocityFromCamNC - LREAL - Velocity after found cam (searching for next signal transition) - 64 - 256 - - - ItemType - Input - - - - - Axis - AXIS_REF - 64 - 320 - - - ItemType - InOut - - - - - EnO - BOOL - 8 - 384 - - - ItemType - Output - - - - - bBusy - BOOL - 8 - 392 - - - ItemType - Output - - - - - bDone - BOOL - 8 - 400 - - - ItemType - Output - - - - - bHomed - BOOL - 8 - 408 - - - ItemType - Output - - - - - bError - BOOL - 8 - 416 - - - ItemType - Output - - - - - nErrorId - UDINT - 32 - 448 - - - ItemType - Output - - - - - fbHome - MC_Home - 8064 - 512 - - - fbWriteHomeDirCamToNC - FB_WriteParameterInNc_v1_00 - 1728 - 8576 - - - fbWriteHomeDirSyncToNC - FB_WriteParameterInNc_v1_00 - 1728 - 10304 - - - fbWriteHomeModeToNC - FB_WriteParameterInNc_v1_00 - 1728 - 12032 - - - fbWriteHomeVelocitiesToNC - FB_HomeWriteNcVelocities - 4096 - 13760 - - - bConfigNCDone - BOOL - 8 - 17856 - - false - - - - fbRTrigg - R_TRIG - 128 - 17920 - - - - PouType - FunctionBlock - - - - - FB_HomeDirect - 8448 - - En - BOOL - 8 - 64 - - - ItemType - Input - - - - - bReset - BOOL - 8 - 72 - - - ItemType - Input - - - - - bExecute - BOOL - 8 - 80 - - - ItemType - Input - - - - - fHomePosition - LREAL - 64 - 128 - - - ItemType - Input - - - - - Axis - AXIS_REF - 64 - 192 - - - ItemType - InOut - - - - - EnO - BOOL - 8 - 256 - - - ItemType - Output - - - - - bBusy - BOOL - 8 - 264 - - - ItemType - Output - - - - - bDone - BOOL - 8 - 272 - - - ItemType - Output - - - - - bHomed - BOOL - 8 - 280 - - - ItemType - Output - - - - - bError - BOOL - 8 - 288 - - - ItemType - Output - - - - - nErrorId - UDINT - 32 - 320 - - - ItemType - Output - - - - - fbHome - MC_Home - 8064 - 384 - - - - PouType - FunctionBlock - - - - - FB_ReadParameterInNc_v1_00 - 1792 - - bExecute - BOOL - 8 - 64 - - - ItemType - Input - - - - - nDeviceGroup - UDINT - 16#4000=Axisdata, 16#5000=Encoderdata, 16#6000=Controldata, 16#7000=Drivedata - 32 - 96 - - - ItemType - Input - - - - - nIndexOffset - UDINT - 32 - 128 - - - ItemType - Input - - - - - nData - DWORD - 32 - 160 - - - ItemType - Output - - - - - bBusy - BOOL - 8 - 192 - - - ItemType - Output - - - - - bDone - BOOL - 8 - 200 - - - ItemType - Output - - - - - bError - BOOL - 8 - 208 - - - ItemType - Output - - - - - nErrorId - UDINT - 32 - 224 - - - ItemType - Output - - - - - Axis - AXIS_REF - 64 - 256 - - - ItemType - InOut - - - - - nState - UINT - 16 - 320 - - - fbADSREAD - ADSREAD - 1408 - 384 - - - - PouType - FunctionBlock - - - - - FB_HomeReadSoftLimEnable - 3904 - - En - BOOL - 8 - 64 - - - ItemType - Input - - - - - bReset - BOOL - 8 - 72 - - - ItemType - Input - - - - - bExecute - BOOL - 8 - 80 - - - ItemType - Input - - - - - Axis - AXIS_REF - 64 - 128 - - - ItemType - InOut - - - - - EnO - BOOL - 8 - 192 - - - ItemType - Output - - - - - bBusy - BOOL - 8 - 200 - - - ItemType - Output - - - - - bDone - BOOL - 8 - 208 - - - ItemType - Output - - - - - bError - BOOL - 8 - 216 - - - ItemType - Output - - - - - nErrorId - UDINT - 32 - 224 - - - ItemType - Output - - - - - bSofLimEnableLow - BOOL - 8 - 256 - - true - - - - ItemType - Output - - - - - bSofLimEnableHigh - BOOL - 8 - 264 - - true - - - - ItemType - Output - - - - - fbReadSoftLimEnableLow - FB_ReadParameterInNc_v1_00 - 1792 - 320 - - - fbReadSoftLimEnableHigh - FB_ReadParameterInNc_v1_00 - 1792 - 2112 - - - - PouType - FunctionBlock - - - - - FB_HomeWriteSoftLimEnable - 3840 - - En - BOOL - 8 - 64 - - - ItemType - Input - - - - - bReset - BOOL - 8 - 72 - - - ItemType - Input - - - - - bExecute - BOOL - 8 - 80 - - - ItemType - Input - - - - - bSofLimEnableLow - BOOL - 8 - 88 - - true - - - - ItemType - Input - - - - - bSofLimEnableHigh - BOOL - 8 - 96 - - true - - - - ItemType - Input - - - - - Axis - AXIS_REF - 64 - 128 - - - ItemType - InOut - - - - - EnO - BOOL - 8 - 192 - - - ItemType - Output - - - - - bBusy - BOOL - 8 - 200 - - - ItemType - Output - - - - - bDone - BOOL - 8 - 208 - - - ItemType - Output - - - - - bError - BOOL - 8 - 216 - - - ItemType - Output - - - - - nErrorId - UDINT - 32 - 224 - - - ItemType - Output - - - - - fbExecuteRiseEdge - R_TRIG - 128 - 256 - - - fbWriteSoftLimEnableLow - FB_WriteParameterInNc_v1_00 - 1728 - 384 - - - fbWriteSoftLimEnableHigh - FB_WriteParameterInNc_v1_00 - 1728 - 2112 - - - - PouType - FunctionBlock - - - - - FB_ReadFloatParameter - 1856 - - bExecute - BOOL - 8 - 64 - - - ItemType - Input - - - - - nDeviceGroup - UDINT - 16#4000=Axisdata, 16#5000=Encoderdata, 16#6000=Controldata, 16#7000=Drivedata - 32 - 96 - - - ItemType - Input - - - - - nIndexOffset - UDINT - 32 - 128 - - - ItemType - Input - - - - - nData - LREAL - 64 - 192 - - - ItemType - Output - - - - - bBusy - BOOL - 8 - 256 - - - ItemType - Output - - - - - bDone - BOOL - 8 - 264 - - - ItemType - Output - - - - - bError - BOOL - 8 - 272 - - - ItemType - Output - - - - - nErrorId - UDINT - 32 - 288 - - - ItemType - Output - - - - - Axis - AXIS_REF - 64 - 320 - - - ItemType - InOut - - - - - nState - UINT - 16 - 384 - - - fbADSREAD - ADSREAD - 1408 - 448 - - - - PouType - FunctionBlock - - - - - FB_HomeReadNcVelocities - 4096 - - En - BOOL - 8 - 64 - - - ItemType - Input - - - - - bReset - BOOL - 8 - 72 - - - ItemType - Input - - - - - bExecute - BOOL - 8 - 80 - - - ItemType - Input - - - - - Axis - AXIS_REF - 64 - 128 - - - ItemType - InOut - - - - - EnO - BOOL - 8 - 192 - - - ItemType - Output - - - - - bBusy - BOOL - 8 - 200 - - - ItemType - Output - - - - - bDone - BOOL - 8 - 208 - - - ItemType - Output - - - - - bError - BOOL - 8 - 216 - - - ItemType - Output - - - - - nErrorId - UDINT - 32 - 224 - - - ItemType - Output - - - - - fVelocityToCam - LREAL - 64 - 256 - - - ItemType - Output - - - - - fVelocityFromCam - LREAL - 64 - 320 - - - ItemType - Output - - - - - fbReadVelocityToCam - FB_ReadFloatParameter - 1856 - 384 - - - fbReadVelocityFromCam - FB_ReadFloatParameter - 1856 - 2240 - - - - PouType - FunctionBlock - - - - - FB_HomePrepare - 20544 - - En - BOOL - 8 - 64 - - - ItemType - Input - - - - - bReset - BOOL - 8 - 72 - - - ItemType - Input - - - - - bExecute - BOOL - 8 - 80 - - - ItemType - Input - - - - - nCmdData - UINT - 16 - 96 - - - ItemType - Input - - - - - Axis - AXIS_REF - 64 - 128 - - - ItemType - InOut - - - - - EnO - BOOL - 8 - 192 - - - ItemType - Output - - - - - bBusy - BOOL - 8 - 200 - - - ItemType - Output - - - - - bDone - BOOL - 8 - 208 - - - ItemType - Output - - - - - bError - BOOL - 8 - 216 - - - ItemType - Output - - - - - nErrorId - UDINT - 32 - 224 - - - ItemType - Output - - - - - bSofLimEnableLowOriginal - BOOL - 8 - 256 - - true - - - - ItemType - Output - - - - - bSofLimEnableHighOriginal - BOOL - 8 - 264 - - true - - - - ItemType - Output - - - - - fVelocityToCam - LREAL - 64 - 320 - - 0 - - - - ItemType - Output - - - - - fVelocityFromCam - LREAL - 64 - 384 - - 0 - - - - ItemType - Output - - - - - fbHomeReadSoftLimEnable - FB_HomeReadSoftLimEnable - 3904 - 448 - - - fbHomeDisableSoftLimEnable - FB_HomeWriteSoftLimEnable - 3840 - 4352 - - - fbHomeReadNCVelocities - FB_HomeReadNcVelocities - 4096 - 8192 - - - fbHomeResetCalibrationFlag - MC_Home - Only used for reset of calibration flag - 8064 - 12288 - - - fbExecuteRiseEdge - R_TRIG - 128 - 20352 - - - bExecuteReadNC - BOOL - 8 - 20480 - - false - - - - bExecuteWriteNC - BOOL - 8 - 20488 - - false - - - - nState - INT - 16 - 20496 - - 0 - - - - - PouType - FunctionBlock - - - - - FB_HomeFinish - 4288 - - En - BOOL - 8 - 64 - - - ItemType - Input - - - - - bReset - BOOL - 8 - 72 - - - ItemType - Input - - - - - bExecute - BOOL - 8 - 80 - - - ItemType - Input - - - - - nCmdData - UINT - 16 - 96 - - - ItemType - Input - - - - - bSofLimEnableLow - BOOL - 8 - 112 - - true - - - - ItemType - Input - - - - - bSofLimEnableHigh - BOOL - 8 - 120 - - true - - - - ItemType - Input - - - - - Axis - AXIS_REF - 64 - 128 - - - ItemType - InOut - - - - - EnO - BOOL - 8 - 192 - - - ItemType - Output - - - - - bBusy - BOOL - 8 - 200 - - - ItemType - Output - - - - - bDone - BOOL - 8 - 208 - - - ItemType - Output - - - - - bError - BOOL - 8 - 216 - - - ItemType - Output - - - - - nErrorId - UDINT - 32 - 224 - - - ItemType - Output - - - - - fbHomewriteSoftLimEnable - FB_HomeWriteSoftLimEnable - 3840 - 256 - - - fbExecuteRiseEdge - R_TRIG - 128 - 4096 - - - bExecuteWriteNC - BOOL - 8 - 4224 - - false - - - - nState - INT - 16 - 4240 - - 0 - - - - - PouType - FunctionBlock - - - - - FB_HomeVirtual - 61696 - - En - BOOL - 8 - 64 - - - ItemType - Input - - - - - bReset - BOOL - 8 - 72 - - - ItemType - Input - - - - - bExecute - BOOL - 8 - 80 - - - ItemType - Input - - - - - nCmdData - UINT - 16 - 96 - - - ItemType - Input - - - - - bLimitFwd - BOOL - 8 - 112 - - - ItemType - Input - - - - - bLimitBwd - BOOL - 8 - 120 - - - ItemType - Input - - - - - bHomeSensor - BOOL - 8 - 128 - - - ItemType - Input - - - - - fHomePosition - LREAL - 64 - 192 - - - ItemType - Input - - - - - nHomeRevOffset - UINT - 16 - 256 - - - ItemType - Input - - - - - Axis - AXIS_REF - 64 - 320 - - - ItemType - InOut - - - - - EnO - BOOL - 8 - 384 - - - ItemType - Output - - - - - bBusy - BOOL - 8 - 392 - - - ItemType - Output - - - - - bDone - BOOL - 8 - 400 - - - ItemType - Output - - - - - bHomed - BOOL - 8 - 408 - - - ItemType - Output - - - - - bError - BOOL - 8 - 416 - - - ItemType - Output - - - - - nErrorId - UDINT - 32 - 448 - - - ItemType - Output - - - - - fbHomeToSwitch - FB_HomeToSwitch - 18048 - 512 - - - fbHomeDirect - FB_HomeDirect - Only used for direct homing (set of position) - 8448 - 18560 - - - fbMoveVelocity - MC_MoveVelocity - 9600 - 27008 - - - fbHomePrepare - FB_HomePrepare - 20544 - 36608 - - - fbHomeFinish - FB_HomeFinish - 4288 - 57152 - - - fbExecuteRiseEdge - R_TRIG - 128 - 61440 - - - nHomingState - INT - 16 - 61568 - - 0 - - - - bExecuteHomeToSwitch - BOOL - 8 - 61584 - - false - - - - bExecuteMoveVelocity - BOOL - 8 - 61592 - - false - - - - bExecutePrepare - BOOL - 8 - 61600 - - false - - - - bExecuteFinish - BOOL - 8 - 61608 - - false - - - - bExecuteHomeDirect - BOOL - 8 - 61616 - - - nCmdDataLocal - UINT - Ensure that nCmdData is not changed during sequence - 16 - 61632 - - - bSequenceReady - BOOL - 8 - 61648 - - true - - - - bRestoreNCDataNeeded - BOOL - 8 - 61656 - - false - - - - - PouType - FunctionBlock - - - - - FB_DriveVirtual - 181056 - - sVersion - STRING(80) - 648 - 64 - - 1.0.3 - - - - En - BOOL - 8 - 712 - - - ItemType - Input - - - - - bEnable - BOOL - 8 - 720 - - - ItemType - Input - - - - - bReset - BOOL - 8 - 728 - - - ItemType - Input - - - - - bExecute - BOOL - 8 - 736 - - - ItemType - Input - - - - - nCommand - UINT - // nCommandLocal... -// 0 = Jog -// 1 = MoveVelocity -// 2 = MoveRelative -// 3 = MoveAbsolut -// 4 = MoveModulo -// 10 = Homing -// 20 = SuperInp >>>ToBe -// 30 = Gear - 16 - 752 - - - ItemType - Input - - - - - nCmdData - UINT - 16 - 768 - - - ItemType - Input - - - - - fVelocity - LREAL - 64 - 832 - - - ItemType - Input - - - - - fPosition - LREAL - 64 - 896 - - - ItemType - Input - - - - - fAcceleration - LREAL - 64 - 960 - - - ItemType - Input - - - - - fDeceleration - LREAL - 64 - 1024 - - - ItemType - Input - - - - - bJogFwd - BOOL - 8 - 1088 - - - ItemType - Input - - - - - bJogBwd - BOOL - 8 - 1096 - - - ItemType - Input - - - - - bLimitFwd - BOOL - 8 - 1104 - - - ItemType - Input - - - - - bLimitBwd - BOOL - 8 - 1112 - - - ItemType - Input - - - - - fOverride - LREAL - 64 - 1152 - - 100 - - - - ItemType - Input - - - - - bHomeSensor - BOOL - 8 - 1216 - - - ItemType - Input - - - - - fHomePosition - LREAL - 64 - 1280 - - - ItemType - Input - - - - - nHomeRevOffset - UINT - 16 - 1344 - - - ItemType - Input - - - - - MasterAxis - AXIS_REF - 9024 - 1408 - - - ItemType - Input - - - - - bPowerSelf - BOOL - 8 - 10432 - - - ItemType - Input - - - - - EnO - BOOL - 8 - 10440 - - - ItemType - Output - - - - - bEnabled - BOOL - 8 - 10448 - - - ItemType - Output - - - - - bBusy - BOOL - 8 - 10456 - - - ItemType - Output - - - - - bDone - BOOL - 8 - 10464 - - - ItemType - Output - - - - - bError - BOOL - 8 - 10472 - - - ItemType - Output - - - - - bHomed - BOOL - 8 - 10480 - - - ItemType - Output - - - - - nErrorId - UDINT - 32 - 10496 - - - ItemType - Output - - - - - nMotionAxisID - UDINT - Axis id in Motion (NC) - 32 - 10528 - - 0 - - - - ItemType - Output - - - - - Status - ST_AxisStatus - 768 - 10560 - - - ItemType - Output - - - - - fActVelocity - LREAL - 64 - 11328 - - - ItemType - Output - - - - - fActPosition - LREAL - 64 - 11392 - - - ItemType - Output - - - - - fActDiff - LREAL - 64 - 11456 - - - ItemType - Output - - - - - sErrorMessage - STRING(80) - 648 - 11520 - - - ItemType - Output - - - - - Axis - AXIS_REF - 64 - 12224 - - - ItemType - InOut - - - - - nCommandLocal - UINT - 16 - 12288 - - - nCmdDataLocal - UINT - 16 - 12304 - - - bFirstScan - BOOL - 8 - 12320 - - true - - - - fbReset - MC_Reset - 1920 - 12352 - - - fbPower - MC_Power - 960 - 14272 - - - fbHalt - MC_Halt - 9472 - 15232 - - - fbJog - MC_Jog - 48512 - 24704 - - - fbMoveVelocity - MC_MoveVelocity - 9600 - 73216 - - - fbMoveRelative - MC_MoveRelative - 9664 - 82816 - - - fbMoveAbsolute - MC_MoveAbsolute - 9664 - 92480 - - - fbMoveModulo - MC_MoveModulo - 9792 - 102144 - - - fbHomeVirtual - FB_HomeVirtual - 61696 - 111936 - - - fbGearInDyn - MC_GearInDyn - 4416 - 173632 - - - fbGearOut - MC_GearOut - 2112 - 178048 - - - fbExecuteRiseEdge - R_TRIG - 128 - 180160 - - - stAxisStatus - DUT_AxisStatus_v0_01 - 768 - 180288 - - - - PouType - FunctionBlock - - - - - FB_MotionHoming - 51584 - - stMotionStage - ST_MotionStage - 64 - 64 - - - ItemType - InOut - - - - - bExecute - BOOL - 8 - 128 - - - ItemType - Input - - - - - bBusy - BOOL - 8 - 136 - - - ItemType - Output - - - - - bDone - BOOL - 8 - 144 - - - ItemType - Output - - - - - bError - BOOL - 8 - 152 - - - ItemType - Output - - - - - nErrorID - UDINT - 32 - 160 - - - ItemType - Output - - - - - fbSetPos - MC_SetPosition - 2240 - 192 - - - fbJog - MC_Jog - 48512 - 2432 - - - rtExec - R_TRIG - 128 - 50944 - - - ftExec - F_TRIG - 128 - 51072 - - - nHomeStateMachine - INT - 16 - 51200 - - 0 - - - - nStateAfterStop - INT - 16 - 51216 - - - nMoves - INT - 16 - 51232 - - - bFirstDirection - BOOL - 8 - 51248 - - - bAtHome - BOOL - 8 - 51256 - - - bMove - BOOL - 8 - 51264 - - - nErrCount - INT - 16 - 51280 - - - bInterrupted - BOOL - 8 - 51296 - - - IDLE - INT - 16 - 51312 - - 0 - - - - NEXT_MOVE - INT - 16 - 51328 - - 1 - - - - CHECK_FWD - INT - 16 - 51344 - - 2 - - - - CHECK_BWD - INT - 16 - 51360 - - 3 - - - - FINAL_MOVE - INT - 16 - 51376 - - 4 - - - - FINAL_SETPOS - INT - 16 - 51392 - - 5 - - - - ERROR - INT - 16 - 51408 - - 6 - - - - WAIT_STOP - INT - 16 - 51424 - - 7 - - - - FWD_START - LREAL - - This is a simpler way of disabling the soft limits that ends up being really obvious if something has gone wrong. - If you turn the limits off/on, not only do you need to keep track of if you had soft limits set, - but you need to always restore this properly or risk some issue. - Instead, I set position to a ridiculous value that can always move forward or backward. - If this gets stuck for any reason it's very clear that something has gone wrong, - rather than a silent failure of the soft limit marks. - - 64 - 51456 - - -99999999 - - - - BWD_START - LREAL - 64 - 51520 - - 99999999 - - - - - PouType - FunctionBlock - - - - - FB_EncSaveRestore - 3264 - - stMotionStage - ST_MotionStage - 64 - 64 - - - ItemType - InOut - - - - - bEnable - BOOL - 8 - 128 - - - ItemType - Input - - - - - fbSetPos - MC_SetPosition - 2240 - 192 - - - timer - TON - 256 - 2432 - - - bInit - BOOL - 8 - 2688 - - - bLoad - BOOL - 8 - 2696 - - - nLatchError - UDINT - 32 - 2720 - - - bEncError - BOOL - 8 - 2752 - - - tRetryDelay - TIME - 32 - 2784 - - T#1s - - - - nMaxRetries - UINT - 16 - 2816 - - 10 - - - - nCurrTries - UINT - 16 - 2832 - - 0 - - - - bWaitRetry - BOOL - 8 - 2848 - - - tonRetry - TON - 256 - 2880 - - - bSaved - BOOL - 8 - 3136 - - - TcPersistent - - - - - fPosition - LREAL - 64 - 3200 - - - TcPersistent - - - - - - PouType - FunctionBlock - - - - - FB_LogMotionError - 87488 - - stMotionStage - ST_MotionStage - 64 - 64 - - - ItemType - InOut - - - - - bEnable - BOOL - 8 - 128 - - - ItemType - Input - - - - - fbLogMessage - FB_LogMessage - 86080 - 192 - - - rtNewError - R_TRIG - 128 - 86272 - - - bChangedError - BOOL - 8 - 86400 - - - sPrevErr - STRING(80) - 648 - 86408 - - - fbJson - FB_JsonSaxWriter - 384 - 87104 - - - - PouType - FunctionBlock - - - - - FB_EncoderValue - 128 - - stMotionStage - ST_MotionStage - 64 - 64 - - - ItemType - InOut - - - - - - PouType - FunctionBlock - - - - - MC_ReadParameterSet - 1984 - - Parameter - ST_AxisParameterSet - 64 - 64 - - - ItemType - InOut - - - - - Axis - AXIS_REF - Reference to an axis - 64 - 128 - - - ItemType - InOut - - - - - Execute - BOOL - 8 - 192 - - - ItemType - Input - - - - - Done - BOOL - 8 - 200 - - - ItemType - Output - - - - - Busy - BOOL - 8 - 208 - - - ItemType - Output - - - - - Error - BOOL - 8 - 216 - - - ItemType - Output - - - - - ErrorID - UDINT - 32 - 224 - - - ItemType - Output - - - displaymode - hex - - - - - TriggerExecute - R_TRIG - 128 - 256 - - - state - _E_TcMC_STATES - 16 - 384 - - _E_TcMC_STATES.STATE_INITIALIZATION - - - - fbAdsRead - ADSREAD - 1408 - 448 - - - SizeofPayloadData - UDINT - 32 - 1856 - - - SizeofPayloadData64 - ULINT - 64 - 1920 - - - ActGetSizeOfParameterSet - - - - PouType - FunctionBlock - - - - - FB_MotionStageNCParams - 2560 - - stMotionStage - ST_MotionStage - 64 - 64 - - - ItemType - InOut - - - - - bEnable - BOOL - 8 - 128 - - - ItemType - Input - - - - - tRefreshDelay - TIME - 32 - 160 - - - ItemType - Input - - - - - bError - BOOL - 8 - 192 - - - ItemType - Output - - - - - mcReadParams - MC_ReadParameterSet - 1984 - 256 - - - timer - TON - 256 - 2240 - - - bExecute - BOOL - 8 - 2496 - - true - - - - nLatchErrId - UDINT - 32 - 2528 - - - - PouType - FunctionBlock - - - - - FB_MotionStage - 327424 - - stMotionStage - ST_MotionStage - 64 - 64 - - - ItemType - InOut - - - - - fbDriveVirtual - FB_DriveVirtual - 181056 - 128 - - - fbMotionHome - FB_MotionHoming - 51584 - 181184 - - - fbSaveRestore - FB_EncSaveRestore - 3264 - 232768 - - - fbLogError - FB_LogMotionError - 87488 - 236032 - - - bExecute - BOOL - 8 - 323520 - - - bExecMove - BOOL - 8 - 323528 - - - bExecHome - BOOL - 8 - 323536 - - - bFwdHit - BOOL - 8 - 323544 - - - bBwdHit - BOOL - 8 - 323552 - - - ftExec - F_TRIG - 128 - 323584 - - - rtExec - R_TRIG - 128 - 323712 - - - rtUserExec - R_TRIG - 128 - 323840 - - - rtTarget - R_TRIG - 128 - 323968 - - - rtHomed - R_TRIG - 128 - 324096 - - - fbSetEnables - FB_SetEnables - 128 - 324224 - - - bPosGoal - BOOL - 8 - 324352 - - - bNegGoal - BOOL - 8 - 324360 - - - fbEncoderValue - FB_EncoderValue - 128 - 324416 - - - fbNCParams - FB_MotionStageNCParams - 2560 - 324544 - - - bNewMoveReq - BOOL - 8 - 327104 - - - bPrepareDisable - BOOL - 8 - 327112 - - - bMoveCmd - BOOL - 8 - 327120 - - - rtMoveCmdShortcut - R_TRIG - 128 - 327168 - - - rtHomeCmdShortcut - R_TRIG - 128 - 327296 - - - - PouType - FunctionBlock - - - - - ENUM_MotionRequest - 16 - E_MotionRequest - - - obsolete - Use E_MotionRequest - - - - - E_PiezoControl - 16 - INT - - EPC_Idle - 0 - Piezo Control Machine - - - EPC_Init - 10 - - - EPC_MoveRequested - 50 - - - EPC_MovingPositive - 100 - - - EPC_MovingNegative - 200 - - - EPC_MoveCompleted - 350 - - - EPC_Error - 500 - - - - E_CTRL_MODE - 16 - INT - - eCTRL_MODE_IDLE - 0 - mode idle - - - eCTRL_MODE_PASSIVE - 1 - mode passive - - - eCTRL_MODE_ACTIVE - 2 - mode active - - - eCTRL_MODE_RESET - 3 - mode reset - - - eCTRL_MODE_MANUAL - 4 - mode manual - - - eCTRL_MODE_TUNE - 5 - mode tuning - - - eCTRL_MODE_SELFTEST - 6 - mode selftest - - - eCTRL_MODE_SYNC_MOVEMENT - 7 - mode synchronize - - - eCTRL_MODE_FREEZE - 8 - mode freeze - - - - E_CTRL_STATE - 16 - INT - - eCTRL_STATE_IDLE - 0 - state idle - - - eCTRL_STATE_PASSIVE - 1 - state passive - - - eCTRL_STATE_ACTIVE - 2 - state active - - - eCTRL_STATE_RESET - 3 - state reset - - - eCTRL_STATE_MANUAL - 4 - state manual - - - eCTRL_STATE_TUNING - 5 - state tuning - - - eCTRL_STATE_TUNED - 6 - state tuning ready - tuned - - - eCTRL_STATE_SELFTEST - 7 - state selftest - - - eCTRL_STATE_ERROR - 8 - state error - - - eCTRL_STATE_SYNC_MOVEMENT - 9 - state synchronizing movement - - - eCTRL_STATE_FREEZE - 10 - state freeze - - - - E_CTRL_ERRORCODES - 16 - INT - - eCTRL_ERROR_NOERROR - 0 - no error - - - eCTRL_ERROR_INVALIDTASKCYCLETIME - 1 - invalid task cycle time - - - eCTRL_ERROR_INVALIDCTRLCYCLETIME - 2 - invalid ctrl cycle time - - - eCTRL_ERROR_INVALIDPARAM - 3 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_Tv - 4 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_Td - 5 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_Tn - 6 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_Ti - 7 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_fHystereisisRange - 8 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_fPosOutOn_Off - 9 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_fNegOutOn_Off - 10 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_TableDescription - 11 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_TableData - 12 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_DataTableADR - 13 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_T0 - 14 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_T1 - 15 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_T2 - 16 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_T3 - 17 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_Theta - 18 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_nOrder - 19 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_Tt - 20 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_Tu - 21 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_Tg - 22 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_infinite_slope - 23 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_fMaxIsLessThanfMin - 24 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_fOutMaxLimitIsLessThanfOutMinLimit - 25 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_fOuterWindow - 26 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_fInnerWindow - 27 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_fOuterWindowIsLessThanfInnerWindow - 28 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_fDeadBandInput - 29 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_fDeadBandOutput - 30 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_PWM_Cycletime - 31 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_no_Parameterset - 32 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_fOutOn - 33 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_fOutOff - 34 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_fGain - 35 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_fOffset - 36 - invalid parameter - - - eCTRL_ERROR_MODE_NOT_SUPPORTED - 37 - invalid mode: mode not supported - - - eCTRL_ERROR_INVALIDPARAM_Tv_heating - 38 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_Td_heating - 39 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_Tn_heating - 40 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_Tv_cooling - 41 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_Td_cooling - 42 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_Tn_cooling - 43 - invalid parameter - - - eCTRL_ERROR_RANGE_NOT_SUPPORTED - 44 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_nParameterChangeCycleTicks - 45 - invalid parameter - - - eCTRL_ERROR_ParameterEstimationFailed - 46 - invalid parameter - - - eCTRL_ERROR_NoiseLevelToHigh - 47 - invalid parameter - - - eCTRL_ERROR_INTERNAL_ERROR_0 - 48 - internal error - - - eCTRL_ERROR_INTERNAL_ERROR_1 - 49 - internal error - - - eCTRL_ERROR_INTERNAL_ERROR_2 - 50 - internal error - - - eCTRL_ERROR_INTERNAL_ERROR_3 - 51 - internal error - - - eCTRL_ERROR_INTERNAL_ERROR_4 - 52 - internal error - - - eCTRL_ERROR_INTERNAL_ERROR_5 - 53 - internal error - - - eCTRL_ERROR_INTERNAL_ERROR_6 - 54 - internal error - - - eCTRL_ERROR_INTERNAL_ERROR_7 - 55 - internal error - - - eCTRL_ERROR_INTERNAL_ERROR_8 - 56 - internal error - - - eCTRL_ERROR_INTERNAL_ERROR_9 - 57 - internal error - - - eCTRL_ERROR_INVALIDPARAM_WorkArrayADR - 58 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_tOnTime - 59 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_tOffTime - 60 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_nMaxMovingPulses - 61 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_nAdditionalPulsesAtLimits - 62 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_fCtrlOutMax_Min - 63 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_fDeltaMax - 64 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_tMovingTime - 65 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_tDeadTime - 66 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_tAdditionalMoveTimeAtLimits - 67 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_fThreshold - 68 - invalid parameter - - - eCTRL_ERROR_MEMCPY - 69 - MEMCPY failed - - - eCTRL_ERROR_MEMSET - 70 - MEMSET failed - - - eCTRL_ERROR_INVALIDPARAM_nNumberOfColumns - 71 - invalid parameter - - - eCTRL_ERROR_FileClose - 72 - File Close failed - - - eCTRL_ERROR_FileOpen - 73 - File Open failed - - - eCTRL_ERROR_FileWrite - 74 - File Write failed - - - eCTRL_ERROR_INVALIDPARAM_fVeloNeg - 75 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_fVeloPos - 76 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_DeadBandInput - 77 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_DeadBandOutput - 78 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_CycleDuration - 79 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_tStart - 80 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_StepHeigthTuningToLow - 81 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_fMinLimitIsLessThanZero - 82 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_fMaxLimitIsGreaterThan100 - 83 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_fStepSize - 84 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_fOkRangeIsLessOrEqualZero - 85 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_fForceRangeIsLessOrEqualfOkRange - 86 - invalid parameter - - - eCTRL_ERROR_INVALIDPWMPeriod - 87 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_tMinimumPulseTime - 88 - invalid parameter - - - eCTRL_ERROR_FileDelete - 89 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_nNumberOfPwmOutputs - 90 - File Delete failed - - - eCTRL_ERROR_INVALIDPARAM_nPwmInputArray_SIZEOF - 91 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_nPwmOutputArray_SIZEOF - 92 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_nPwmWaitTimesConfig_SIZEOF - 93 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_nPwmInternalData_SIZEOF - 94 - invalid parameter - - - eCTRL_ERROR_SIZEOF - 95 - SiZEOF failed - - - eCTRL_ERROR_INVALIDPARAM_nOrderOfTheTransferfunction - 96 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_nNumeratorArray_SIZEOF - 97 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_nDenominatorArray_SIZEOF - 98 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_a_n_IsZero - 99 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_WorkArraySIZEOF - 100 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_MOVINGRANGE_MIN_MAX - 101 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_MOVINGTIME - 102 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_DEADTIME - 103 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_fMinLimitIsGreaterThanfMaxLimit - 104 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_DataTableSIZEOF - 105 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_OutputVectorDescription - 106 - invalid parameter - - - eCTRL_ERROR_TaskCycleTimeIsLessThanOneMillisecond - 107 - - - - eCTRL_ERROR_INVALIDPARAM_nMinMovingPulses - 108 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_fAcceleration - 109 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_fDeceleration - 110 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_StartAndTargetPos - 111 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_fVelocity - 112 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_fTargetPos - 113 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_fStartPos - 114 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_fMovingLength - 115 - invalid parameter - - - eCTRL_ERROR_NT_GetTime - 116 - internal error NT_GetTime - - - eCTRL_ERROR_INVALIDPARAM_No3PhaseSolutionPossible - 117 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_fStartVelo - 118 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_fTargetVelo - 119 - invalid parameter - - - eCTRL_ERROR_INVALID_NEW_PARAMETER_TYPE - 120 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_fBaseTime - 121 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_nOrderOfTheTransferfunction_SIZEOF - 122 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_nFilterOrder - 124 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_nCoefficientsArray_a_SIZEOF - 125 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_nCoefficientsArray_b_SIZEOF - 126 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_nDigitalFiterData_SIZEOF - 127 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_nLogBuffer_SIZEOF - 128 - invalid parameter - - - eCTRL_ERROR_LogBufferOverflow - 129 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_nLogBuffer_ADR - 130 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_nCoefficientsArray_a_ADR - 131 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_nCoefficientsArray_b_ADR - 132 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_nPwmInputArray_ADR - 133 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_nPwmOutputArray_ADR - 134 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_nPwmWaitTimesConfig_ADR - 135 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_nPwmInternalData_ADR - 136 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_nDigitalFiterData_ADR - 137 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_nNumeratorArray_ADR - 138 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_nDenominatorArray_ADR - 139 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_nTransferfunction1Data_ADR - 140 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_nTransferfunction2Data_ADR - 141 - invalid parameter - - - eCTRL_ERROR_FileSeek - 142 - internal error FB_FileSeek - - - eCTRL_ERROR_INVALIDPARAM_AmbientTempMaxIsLessThanAmbientTempMin - 143 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_ForerunTempMaxIsLessThanForerunTempMin - 144 - invalid parameter - - - eCTRL_ERROR_INVALIDLOGCYCLETIME - 145 - invalid parameter - - - eCTRL_ERROR_INVALIDVERSION_TcControllerToolbox - 146 - - - eCTRL_ERROR_INVALIDPARAM_Bandwidth - 147 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_NotchFrequency - 148 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_DampingCoefficient - 149 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_fKpIsLessThanZero - 150 - invalid parameter - - - eCTRL_ERROR_INVALIDPARAM_nSamplesToFilter - 151 - invalid parameter - - - - FB_CTRL_PI - 2240 - - fSetpointValue - LREAL - setpoint value of controlled variable - 64 - 64 - - - ItemType - Input - - - - - fActualValue - LREAL - actual value of the controlled variable - 64 - 128 - - - ItemType - Input - - - - - fManSyncValue - LREAL - manual value to synchronize controller output - 64 - 192 - - - ItemType - Input - - - - - bSync - BOOL - rising edge sets controller output manual sync value - 8 - 256 - - - ItemType - Input - - - - - eMode - E_CTRL_MODE - operating mode - 16 - 272 - - - ItemType - Input - - - - - bHold - BOOL - TRUE will hold the controller output at current value - 8 - 288 - - - ItemType - Input - - - - - fOut - LREAL - controller output - 64 - 320 - - - ItemType - Output - - - - - bARWactive - BOOL - TRUE indicates that the controller output is restricted - 8 - 384 - - - ItemType - Output - - - - - eState - E_CTRL_STATE - current state of the function block - 16 - 400 - - - ItemType - Output - - - - - eErrorId - E_CTRL_ERRORCODES - error code - 16 - 416 - - - ItemType - Output - - - - - bError - BOOL - TRUE, if error occurs - 8 - 432 - - - ItemType - Output - - - - - stParams - ST_CTRL_PI_PARAMS - parameter structure - 64 - 448 - - - ItemType - InOut - - - - - stInternalParams - ST_CTRL_PI_PARAMS - 384 - 512 - - - stInternalCycleTimeInterpretation - ST_CTRL_CYCLE_TIME_INTERPRETATION - 128 - 896 - - - bFirstInit - BOOL - 8 - 1024 - - true - - - - bFirstCallAfterAStateChange - BOOL - 8 - 1032 - - false - - - - fD_I - LREAL - 64 - 1088 - - - fTaskCycleTime - LREAL - 64 - 1152 - - - fCtrlCycleTime - LREAL - 64 - 1216 - - - fHalfCtrlCycleTime - LREAL - 64 - 1280 - - - fTn - LREAL - 64 - 1344 - - - bIPartEnabled - BOOL - 8 - 1408 - - - fSyncValueInternal - LREAL - 64 - 1472 - - - fLimitValue - LREAL - 64 - 1536 - - - fE - LREAL - 64 - 1600 - - 0 - - - - fE_1 - LREAL - 64 - 1664 - - 0 - - - - fY_I - LREAL - 64 - 1728 - - 0 - - - - fY_I_1 - LREAL - 64 - 1792 - - 0 - - - - fY_P - LREAL - 64 - 1856 - - 0 - - - - fY - LREAL - 64 - 1920 - - 0 - - - - nCtrlCycleTicks - UDINT - 32 - 1984 - - - nActCtrlCycleTick - UDINT - 32 - 2016 - - - eMode_old - E_CTRL_MODE - 16 - 2048 - - - bMaxLimitReached - BOOL - 8 - 2064 - - - bMinLimitReached - BOOL - 8 - 2072 - - - bSyncRequest - BOOL - 8 - 2080 - - - fbR_TRIG - R_TRIG - 128 - 2112 - - - M_Error - - - M_Reset - - - M_Manual - - - M_Active - - - M_StateChange - - - M_Passive - - - M_Init - - - - PouType - FunctionBlock - - - - - ST_CTRL_RAMP_GENERATOR_PARAMS - 192 - - tTaskCycleTime - TIME - task cycle time [TIME] - 32 - 0 - - - tCtrlCycleTime - TIME - controller cycle time [TIME] - 32 - 32 - - - fVeloPos - LREAL - velocity ramp by time, range > 0.0 - 64 - 64 - - - fVeloNeg - LREAL - velocity ramp by time, range > 0.0 - 64 - 128 - - - - ST_CTRL_RAMP_GENERATOR_EXT_PARAMS - 192 - ST_CTRL_RAMP_GENERATOR_PARAMS - - - FB_CTRL_RAMP_GENERATOR_EXT - 1280 - - fStartValue - LREAL - starting value of the ramp - 64 - 64 - - - ItemType - Input - - - - - fTargetValue - LREAL - target value of the ramp - 64 - 128 - - - ItemType - Input - - - - - fManValue - LREAL - manual value to synchronize controller output - 64 - 192 - - - ItemType - Input - - - - - bHold - BOOL - TRUE will hold the controller output at current value - 8 - 256 - - - ItemType - Input - - - - - eMode - E_CTRL_MODE - operating mode - 16 - 272 - - - ItemType - Input - - - - - fOut - LREAL - controller output - 64 - 320 - - - ItemType - Output - - - - - fVeloOut - LREAL - current velocity of the ramp generator - 64 - 384 - - - ItemType - Output - - - - - bValueReached - BOOL - TRUE indicates that target value is reached - 8 - 448 - - - ItemType - Output - - - - - eState - E_CTRL_STATE - current state of the function block - 16 - 464 - - - ItemType - Output - - - - - eErrorId - E_CTRL_ERRORCODES - error code - 16 - 480 - - - ItemType - Output - - - - - bError - BOOL - TRUE, if error occurs - 8 - 496 - - - ItemType - Output - - - - - stParams - ST_CTRL_RAMP_GENERATOR_EXT_PARAMS - parameter structure - 64 - 512 - - - ItemType - InOut - - - - - fTaskCycleTime - LREAL - 64 - 576 - - - fCtrlCycleTime - LREAL - 64 - 640 - - - fOutLocal - LREAL - 64 - 704 - - - bGetStartValue - BOOL - 8 - 768 - - true - - - - stInternalParams - ST_CTRL_RAMP_GENERATOR_EXT_PARAMS - 192 - 832 - - - stInternalCycleTimeInterpretation - ST_CTRL_CYCLE_TIME_INTERPRETATION - 128 - 1024 - - - nCtrlCycleTicks - UDINT - 32 - 1152 - - - nActCtrlCycleTick - UDINT - 32 - 1184 - - - eMode_old - E_CTRL_MODE - 16 - 1216 - - - bFirstInit - BOOL - 8 - 1232 - - true - - - - M_Error - - - M_Reset - - - M_Manual - - - M_Active - - - M_StateChange - - - M_Passive - - - M_Init - - - - PouType - FunctionBlock - - - - - FB_CTRL_GET_TASK_CYCLETIME - 768 - - eMode - E_CTRL_MODE - operating mode - 16 - 64 - - - ItemType - Input - - - - - tTaskCycleTime - TIME - resolution 1ms - 32 - 96 - - - ItemType - Output - - - - - bCycleTimeValid - BOOL - TRUE, if cycle time is valid - 8 - 128 - - - ItemType - Output - - - - - eState - E_CTRL_STATE - current state of the function block - 16 - 144 - - - ItemType - Output - - - - - eErrorId - E_CTRL_ERRORCODES - error code - 16 - 160 - - - ItemType - Output - - - - - bError - BOOL - TRUE, if error occurs - 8 - 176 - - - ItemType - Output - - - - - bFirstInit - BOOL - 8 - 184 - - true - - - - nCpuCntLoDW - DWORD - 32 - 192 - - - nCpuCntHiDW - DWORD - 32 - 224 - - - nLastcpuCntLoDW - DWORD - 32 - 256 - - - nLastcpuCntHiDW - DWORD - 32 - 288 - - - nCycleTimeDW - DWORD - 32 - 320 - - - nCycleTimeDWold - DWORD - 32 - 352 - - - bFirstCallReady - BOOL - 8 - 384 - - - fbGETCPUCOUNTER - GETCPUCOUNTER - 256 - 448 - - - eMode_old - E_CTRL_MODE - 16 - 704 - - - M_Reset - - - M_StateChange - - - M_Active - - - M_Passive - - - M_Init - - - - PouType - FunctionBlock - - - - - FB_PiezoControl - 6720 - - iq_Piezo - ST_PiezoAxis - 64 - 64 - - - ItemType - InOut - - - - - xExecute - BOOL - Rising edge being piezo motion - 8 - 128 - - - ItemType - Input - - - - - xReset - BOOL - 8 - 136 - - - ItemType - Input - - - - - Enable_Positive - BOOL - Reverse of Positive Limit Switch - 8 - 144 - - - ItemType - Input - - - - - Enable_Negative - BOOL - Reverse of Negative Limit Switch - 8 - 152 - - - ItemType - Input - - - - - xBusy - BOOL - Busy remains true while piezo position is being adjusted - 8 - 160 - - - ItemType - Output - - - - - xDone - BOOL - Reached target position - 8 - 168 - - - ItemType - Output - - - - - xError - BOOL - General error - 8 - 176 - - - ItemType - Output - - - - - xLimited - BOOL - Piezo move was limited - 8 - 184 - - - ItemType - Output - - - - - E_State - E_PiezoControl - ENUM for Piezo Control State - 16 - 192 - - - rtStartMove - R_TRIG - Rising Trigger for Execution - 128 - 256 - - - rtReset - R_TRIG - Rising Trigger for Error reset - 128 - 384 - - - rSetpoint - REAL - Internal Storage of Setpoint - 32 - 512 - - - rReqVoltage - REAL - requested voltage - 32 - 544 - - - rLLSV - REAL - 32 - 576 - - 0 - - - - rHLSV - REAL - 32 - 608 - - 120 - - - - fbPI - FB_CTRL_PI - 2240 - 640 - - - fbRamp - FB_CTRL_RAMP_GENERATOR_EXT - 1280 - 2880 - - - bInitialized - BOOL - FB initialized flag - 8 - 4160 - - - fbGetCycleTime - FB_CTRL_GET_TASK_CYCLETIME - Get cycle time for control FBs - 768 - 4224 - - - tTaskCycleTime - TIME - 32 - 4992 - - - bCycleTimeValid - BOOL - 8 - 5024 - - - rtVoltMode - R_TRIG - 128 - 5056 - - - fOut - LREAL - 64 - 5184 - - - fPiezoBias - LREAL - 64 - 5248 - - 60 - - - - fScale - REAL - 32 - 5312 - - -60 - - - - tonPiezoDone - TON - 256 - 5376 - - - .PT - T#2S - - - - - tonPiezoLimited - TON - 256 - 5632 - - - .PT - T#500MS - - - - - xVoltageLimited - BOOL - 8 - 5888 - - - ftEnPos - F_TRIG - 128 - 5952 - - - ftEnNeg - F_TRIG - 128 - 6080 - - - rtEnPos - R_TRIG - 128 - 6208 - - - rtEnNeg - R_TRIG - 128 - 6336 - - - fOutLimitHolder - LREAL - holds the limit value until restored - 64 - 6464 - - - fOutHiLimHolder - LREAL - holds the limit value until restored - 64 - 6528 - - - fOutLoLimHolder - LREAL - holds the limit value until restored - 64 - 6592 - - - xFirstPass - BOOL - 8 - 6656 - - true - - - - ACT_CheckLimits - - - ACT_Controller - - - - PouType - FunctionBlock - - - - - E_PitchControl - 16 - INT - - PCM_Init - 0 - Pitch Control Machine - - - PCM_Standby - 1 - - - PCM_MoveRequested - 10 - - - PCM_Coarse50Piezo - 20 - - - PCM_CoarseMove - 21 - - - PCM_CoarseMoveCleanup - 22 - - - PCM_FineMove - 30 - - - PCM_Halt - 50 - - - PCM_Done - 8000 - why is 8000 done? Where did this come from?? - - - PCM_Error - 9000 - Anything above 9000 is considered an error - - - PCM_StepperError - 9100 - - - PCM_PiezoError - 9200 - - - PCM_OtherError - 9300 - - - PCM_STOHit - 9400 - - - - FB_PitchControl - 397888 - - Pitch - HOMS_PitchMechanism - 64 - 64 - - - ItemType - InOut - - - - - Stepper - ST_MotionStage - 64 - 128 - - - ItemType - InOut - - - - - lrCurrentSetpoint - LREAL - Setpoint: Epics writes to ST_MotionStage which gets fed into this - 64 - 192 - - - ItemType - Input - - - - - q_bError - BOOL - 8 - 256 - - - ItemType - Output - - - - - q_bDone - BOOL - 8 - 264 - - - ItemType - Output - - - - - q_bBusy - BOOL - 8 - 272 - - - ItemType - Output - - - - - stDiag - ST_FbDiagnostics - Logging - 49664 - 320 - - - fbFormatString - FB_FormatString - 8576 - 49984 - - - POUName - T_MaxString - Name of the POU for logging/error reporting - 2048 - 58560 - - - instance-path - - - no_init - - - - - lrActPos - LREAL - Actual Position of piezo mechanism - 64 - 60608 - - - lrPrevStepperPos - LREAL - Previous successfully achieved stepper position - 64 - 60672 - - - ftLimitSwitch - F_TRIG - 128 - 60736 - - - lrOriginalPosRequest - LREAL - Used for logging - 64 - 60864 - - - lrLastSetpoint - LREAL - Previous successfully achieved setpoint - 64 - 60928 - - - fbMotionRequest - FB_MotionRequest - 1920 - 60992 - - - fbMotionStage - FB_MotionStage - 327424 - 62912 - - - bLimitHit - BOOL - 8 - 390336 - - - tonStepperHold - TON - Timer to hold stepper position while the system relaxes - 256 - 390400 - - - .PT - T#100MS - - - - - rSettledRange - REAL - Units = urad - 32 - 390656 - - 5 - - - - bResetStepper - BOOL - 8 - 390688 - - - bExecuteStepper - BOOL - 8 - 390696 - - - enumMotionRequest - ENUM_MotionRequest - Wait for move to complete before taking another request - 16 - 390704 - - E_MotionRequest.WAIT - - - - tonPiezoSettled - TON - Piezo - 256 - 390720 - - - .PT - T#2S - - - - - fbPiezoControl - FB_PiezoControl - 6720 - 390976 - - - rtPiezoMoveDone - R_TRIG - 128 - 397696 - - - PC_State - E_PitchControl - State Machine - 16 - 397824 - - E_PitchControl.PCM_Init - - - - bCoarse50PiezoMove - BOOL - 8 - 397840 - - - ACT_ResetSetpoint - - - - PouType - FunctionBlock - - - - - E_ReadMode - 16 - INT - - READMODE_ONCE - 1 - - - READMODE_CYCLIC - 2 - - - - MC_AxisParameter - 16 - INT - - CommandedPosition - 1 - taken from NcToPlc - - - SWLimitPos - 2 - IndexOffset= 16#0001_000E - - - SWLimitNeg - 3 - IndexOffset= 16#0001_000D - - - EnableLimitPos - 4 - IndexOffset= 16#0001_000C - - - EnableLimitNeg - 5 - IndexOffset= 16#0001_000B - - - EnablePosLagMonitoring - 6 - IndexOffset= 16#0002_0010 - - - MaxPositionLag - 7 - IndexOffset= 16#0002_0012 - - - MaxVelocitySystem - 8 - IndexOffset= 16#0000_0027 - - - MaxVelocityAppl - 9 - IndexOffset= 16#0000_0027 - - - ActualVelocity - 10 - taken from NcToPlc - - - CommandedVelocity - 11 - taken from NcToPlc - - - MaxAccelerationSystem - 12 - IndexOffset= 16#0000_0101 - - - MaxAccelerationAppl - 13 - IndexOffset= 16#0000_0101 - - - MaxDecelerationSystem - 14 - IndexOffset= 16#0000_0102 - - - MaxDecelerationAppl - 15 - IndexOffset= 16#0000_0102 - - - MaxJerkSystem - 16 - IndexOffset= 16#0000_0103 - - - MaxJerkAppl - 17 - IndexOffset= 16#0000_0103 - - - AxisId - 1000 - IndexOffset= 16#0000_0001 - - - AxisVeloManSlow - 1001 - IndexOffset= 16#0000_0008 - - - AxisVeloManFast - 1002 - IndexOffset= 16#0000_0009 - - - AxisVeloMax - 1003 - IndexOffset= 16#0000_0027 - - - AxisAcc - 1004 - IndexOffset= 16#0000_0101 - - - AxisDec - 1005 - IndexOffset= 16#0000_0102 - - - AxisJerk - 1006 - IndexOffset= 16#0000_0103 - - - MaxJerk - 1007 - IndexOffset= 16#0000_0103 - - - AxisMaxVelocity - 1008 - IndexOffset= 16#0000_0027 - - - AxisRapidTraverseVelocity - 1009 - IndexOffset= 16#0000_000A - - - AxisManualVelocityFast - 1010 - IndexOffset= 16#0000_0009 - - - AxisManualVelocitySlow - 1011 - IndexOffset= 16#0000_0008 - - - AxisCalibrationVelocityForward - 1012 - IndexOffset= 16#0000_0006 - - - AxisCalibrationVelocityBackward - 1013 - IndexOffset= 16#0000_0007 - - - AxisJogIncrementForward - 1014 - IndexOffset= 16#0000_0018 - - - AxisJogIncrementBackward - 1015 - IndexOffset= 16#0000_0019 - - - AxisEnMinSoftPosLimit - 1016 - IndexOffset= 16#0001_000B - - - AxisMinSoftPosLimit - 1017 - IndexOffset= 16#0001_000D - - - AxisEnMaxSoftPosLimit - 1018 - IndexOffset= 16#0001_000C - - - AxisMaxSoftPosLimit - 1019 - IndexOffset= 16#0001_000E - - - AxisEnPositionLagMonitoring - 1020 - IndexOffset= 16#0002_0010 - - - AxisMaxPosLagValue - 1021 - IndexOffset= 16#0002_0012 - - - AxisMaxPosLagFilterTime - 1022 - IndexOffset= 16#0002_0013 - - - AxisEnPositionRangeMonitoring - 1023 - IndexOffset= 16#0000_000F - - - AxisPositionRangeWindow - 1024 - IndexOffset= 16#0000_0010 - - - AxisEnTargetPositionMonitoring - 1025 - IndexOffset= 16#0000_0015 - - - AxisTargetPositionWindow - 1026 - IndexOffset= 16#0000_0016 - - - AxisTargetPositionMonitoringTime - 1027 - IndexOffset= 16#0000_0017 - - - AxisEnInTargetTimeout - 1028 - IndexOffset= 16#0000_0029 - - - AxisInTargetTimeout - 1029 - IndexOffset= 16#0000_002A - - - AxisEnMotionMonitoring - 1030 - IndexOffset= 16#0000_0011 - - - AxisMotionMonitoringWindow - 1031 - IndexOffset= 16#0000_0028 - - - AxisMotionMonitoringTime - 1032 - IndexOffset= 16#0000_0012 - - - AxisDelayTimeVeloPosition - 1033 - IndexOffset= 16#0000_0104 - - - AxisEnLoopingDistance - 1034 - IndexOffset= 16#0000_0013 - - - AxisLoopingDistance - 1035 - IndexOffset= 16#0000_0014 - - - AxisEnBacklashCompensation - 1036 - IndexOffset= 16#0000_002B - - - AxisBacklash - 1037 - IndexOffset= 16#0000_002C - - - AxisEnDataPersistence - 1038 - IndexOffset= 16#0000_0030 - - - AxisRefVeloOnRefOutput - 1039 - IndexOffset= 16#0003_0101 - - - AxisOverrideType - 1040 - IndexOffset= 16#0000_0105 - - - AxisEncoderScalingFactor - 1041 - IndexOffset= 16#0001_0006 - - - AxisEncoderOffset - 1042 - IndexOffset= 16#0001_0007 - - - AxisEncoderDirectionInverse - 1043 - IndexOffset= 16#0001_0008 - - - AxisEncoderMask - 1044 - IndexOffset= 16#0001_0015 - - - AxisEncoderModuloValue - 1045 - IndexOffset= 16#0001_0009 - - - AxisModuloToleranceWindow - 1046 - IndexOffset= 16#0001_001B - - - AxisEnablePosCorrection - 1047 - IndexOffset= 16#0001_0016 - - - AxisPosCorrectionFilterTime - 1048 - IndexOffset= 16#0001_0017 - - - AxisUnitInterpretation - 1049 - added 5/20/2008 KSt - - - AxisMotorDirectionInverse - 1050 - IndexOffset= 16#0003_0006 - - - AxisCycleTime - 1051 - IndexOffset= 16#0000_0004 - - - AxisFastStopSignalType - 1052 - IndexOffset= 16#0000_001E - - - AxisFastAcc - 1053 - IndexOffset= 16#0000_010A - - - AxisFastDec - 1054 - IndexOffset= 16#0000_010B - - - AxisFastJerk - 1055 - IndexOffset= 16#0000_010C - - - AxisEncoderScalingNumerator - 1056 - IndexOffset= 16#0001_0023 - available in Tc3 - - - AxisEncoderScalingDenominator - 1057 - IndexOffset= 16#0001_0024 - available in Tc3 - - - AxisMaximumAcceleration - 1058 - IndexOffset= 16#0000_00F1 - available in Tc3 - - - AxisMaximumDeceleration - 1059 - IndexOffset= 16#0000_00F2 - available in Tc3 - - - AxisVeloJumpFactor - 1060 - IndexOffset= 16#0000_0106 - - - AxisToleranceBallAuxAxis - 1061 - IndexOffset= 16#0000_0108 - - - AxisMaxPositionDeviationAuxAxis - 1062 - IndexOffset= 16#0000_0109 - - - AxisErrorPropagationMode - 1063 - IndexOffset= 16#0000_001A - - - AxisErrorPropagationDelay - 1064 - IndexOffset= 16#0000_001B - - - AxisCoupleSlaveToActualValues - 1065 - IndexOffset= 16#0000_001C - - - AxisAllowMotionCmdToSlaveAxis - 1066 - IndexOffset= 16#0000_0020 - - - AxisAllowMotionCmdToExtSetAxis - 1067 - IndexOffset= 16#0000_0021 - - - AxisEncoderSubMask - 1068 - IndexOffset= 16#0001_0108 - - - AxisEncoderReferenceSystem - 1069 - IndexOffset= 16#0001_0019 - - - AxisEncoderPositionFilterPT1 - 1070 - IndexOffset= 16#0001_0010 - - - AxisEncoderVelocityFilterPT1 - 1071 - IndexOffset= 16#0001_0011 - - - AxisEncoderAccelerationFilterPT1 - 1072 - IndexOffset= 16#0001_0012 - - - AxisEncoderMode - 1073 - IndexOffset= 16#0001_000A - - - AxisEncoderHomingInvDirCamSearch - 1074 - IndexOffset= 16#0001_0101 - - - AxisEncoderHomingInvDirSyncSearch - 1075 - IndexOffset= 16#0001_0102 - - - AxisEncoderHomingCalibValue - 1076 - IndexOffset= 16#0001_0103 - - - AxisEncoderReferenceMode - 1077 - IndexOffset= 16#0001_0107 - - - AxisRefVeloOutputRatio - 1078 - IndexOffset= 16#0003_0102 - - - AxisDrivePositionOutputScaling - 1079 - IndexOffset= 16#0003_0109 - - - AxisDriveVelocityOutputScaling - 1080 - IndexOffset= 16#0003_0105 - - - AxisDriveVelocityOutputDelay - 1081 - IndexOffset= 16#0003_010D - - - AxisDriveMinOutputLimitation - 1082 - IndexOffset= 16#0003_000B - - - AxisDriveMaxOutputLimitation - 1083 - IndexOffset= 16#0003_000C - - - AxisTorqueInputScaling - 1084 - IndexOffset= 16#0003_0031 - available in Tc3 - - - AxisTorqueInputFilterPT1 - 1085 - IndexOffset= 16#0003_0032 - available in Tc3 - - - AxisTorqueDerivationInputFilterPT1 - 1086 - IndexOffset= 16#0003_0033 - available in Tc3 - - - AxisTorqueOutputScaling - 1087 - IndexOffset= 16#0003_010B - - - AxisTorqueOutputDelay - 1088 - IndexOffset= 16#0003_010F - - - AxisAccelerationOutputScaling - 1089 - IndexOffset= 16#0003_010A - - - AxisAccelerationOutputDelay - 1090 - IndexOffset= 16#0003_010E - - - AxisDrivePosOutputSmoothFilterType - 1091 - IndexOffset= 16#0003_0110 - - - AxisDrivePosOutputSmoothFilterTime - 1092 - IndexOffset= 16#0003_0111 - - - AxisDrivePosOutputSmoothFilterOrder - 1093 - IndexOffset= 16#0003_0112 - - - AxisDriveMode - 1094 - IndexOffset= 16#0003_000A - - - AxisDriftCompensationOffset - 1095 - IndexOffset= 16#0003_0104 - - - AxisPositionControlKv - 1096 - IndexOffset= 16#0002_0102 - - - AxisCtrlVelocityPreCtrlWeight - 1097 - IndexOffset= 16#0002_000B - - - AxisControllerMode - 1098 - IndexOffset= 16#0002_000A - - - AxisCtrlAutoOffset - 1099 - IndexOffset= 16#0002_0110 - - - AxisCtrlAutoOffsetTimer - 1100 - IndexOffset= 16#0002_0115 - - - AxisCtrlAutoOffsetLimit - 1101 - IndexOffset= 16#0002_0114 - - - AxisSlaveCouplingControlKcp - 1102 - IndexOffset= 16#0002_010F - - - AxisCtrlOutputLimit - 1103 - IndexOffset= 16#0002_0100 - - - AxisFadingAccleration - 1104 - IndexOffset= 16#0000_001D - - - AxisTargetPosition - 2000 - IndexOffset= 16#0000_0013 - - - AxisRemainingTimeToGo - 2001 - IndexOffset= 16#0000_0014 - - - AxisRemainingDistanceToGo - 2002 - IndexOffset= 16#0000_0022, 16#0000_0042 - - - AxisGearRatio - 3000 - read:IdxGrp=0x4100+ID, IdxOffs=16#0000_0022, write:IdxGrp=0x4200+ID, IdxOffs=16#0000_0042 - - - NcSafCycleTime - 4000 - IndexOffset= 16#0000_0010 - - - NcSvbCycleTime - 4001 - IndexOffset= 16#0000_0012 - - - AxisMasPositionDeviationAuxAxis - 32000 - lreal - IndexOffset= 16#0000_0109 - added for compatibility reasons (write error AxisMasPositionDeviationAuxAxis changed to AxisMaxPositionDeviationAuxAxis) 2018-05-03 KSt - - - - _E_ParameterType - 16 - INT - - PARAMETERTYPE_NOTYPE - 0 - - - PARAMETERTYPE_BOOL - 1 - - - PARAMETERTYPE_DWORD - 2 - - - PARAMETERTYPE_LREAL - 3 - - - - conditionalshow - - - - - _ST_ParaStruct - 192 - - Port - UINT - 2014-07-14 KSt - 16 - 0 - - - IndexGroup - UDINT - 32 - 32 - - - IndexOffset - UDINT - 32 - 64 - - - ParaType - _E_ParameterType - 16 - 96 - - - LrealSize - UDINT - sizeof Lreal data array - 32 - 128 - - - LrealOffset - UDINT - offset in lreal data array - 32 - 160 - - - - conditionalshow - - - - - _FB_ReadWriteParameter - 4352 - - Axis - AXIS_REF - Reference to an axis - 64 - 64 - - - ItemType - InOut - - - - - Enable - BOOL - 8 - 128 - - - ItemType - Input - - - - - ParameterNumber - MC_AxisParameter - 16 - 144 - - - ItemType - Input - - - - - Mode - INT - read/write - 16 - 160 - - - ItemType - Input - - - - - Done - BOOL - 8 - 176 - - - ItemType - Output - - - - - Busy - BOOL - 8 - 184 - - - ItemType - Output - - - - - Error - BOOL - 8 - 192 - - - ItemType - Output - - - - - ErrorID - UDINT - 32 - 224 - - - ItemType - Output - - - displaymode - hex - - - - - ADSbusy - BOOL - 8 - 256 - - - ItemType - Output - - - - - ValueLreal - LREAL - 64 - 320 - - - ItemType - InOut - - - - - ValueDword - DWORD - 64 - 384 - - - ItemType - InOut - - - - - ValueBool - BOOL - 64 - 448 - - - ItemType - InOut - - - - - iState - _E_TcMC_STATES - 16 - 512 - - _E_TcMC_STATES.STATE_INITIALIZATION - - - - fbAdsRead - ADSREAD - 1408 - 576 - - - fbAdsWrite - ADSWRITE - 1344 - 1984 - - - dwValue - DWORD - 32 - 3328 - - - lrValue - LREAL - - 1 - 10 - - 640 - 3392 - - - NcBoolValue - UINT - 16 - 4032 - - - bStarted - BOOL - 8 - 4048 - - - stParaStruct - _ST_ParaStruct - 192 - 4064 - - - n - INT - 16 - 4256 - - - i - INT - 16 - 4272 - - - ParaLREAL - INT - 16 - 4288 - - 2 - - - - - PouType - FunctionBlock - - - conditionalshow - - - - - MC_ReadParameter - 4992 - - Axis - AXIS_REF - Reference to an axis - 64 - 64 - - - ItemType - InOut - - - - - Enable - BOOL - 8 - 128 - - - ItemType - Input - - - - - ParameterNumber - INT - 16 - 144 - - - ItemType - Input - - - - - ReadMode - E_ReadMode - Beckhoff proprietary input - 16 - 160 - - E_ReadMode.READMODE_ONCE - - - - ItemType - Input - - - - - Valid - BOOL - 8 - 176 - - - ItemType - Output - - - - - Busy - BOOL - 8 - 184 - - - ItemType - Output - - - - - Error - BOOL - 8 - 192 - - - ItemType - Output - - - - - ErrorID - UDINT - 32 - 224 - - - ItemType - Output - - - displaymode - hex - - - - - Value - LREAL - 64 - 256 - - - ItemType - Output - - - - - ADSbusy - BOOL - 8 - 320 - - - fbReadWriteParameter - _FB_ReadWriteParameter - 4352 - 384 - - - nParatype - _E_ParameterType - 16 - 4736 - - - conditionalshow - - - - - dwValue - DWORD - 32 - 4768 - - - conditionalshow - - - - - bValue - BOOL - 8 - 4800 - - - conditionalshow - - - - - bStarted - BOOL - 8 - 4808 - - - conditionalshow - - - - - fbTrigger - R_TRIG - 128 - 4864 - - - conditionalshow - - - - - - PouType - FunctionBlock - - - - - FB_AnalogInput - 512 - - iRaw - INT - Connect this input to the terminal - 16 - 64 - - - ItemType - Input - - - TcAddressType - Input - - - - - iTermBits - UINT - The number of bits correlated with the terminal's max value. This is not necessarily the resolution parameter. - 16 - 80 - - - ItemType - Input - - - - - fTermMax - LREAL - The fReal value correlated with the terminal's max value - 64 - 128 - - - ItemType - Input - - - - - fTermMin - LREAL - The fReal value correlated with the terminal's min value - 64 - 192 - - - ItemType - Input - - - - - fResolution - LREAL - Value to scale the end result to - 64 - 256 - - 1 - - - - ItemType - Input - - - pytmc - - pv: RES - io: io - - - - - - fOffset - LREAL - 64 - 320 - - - ItemType - Input - - - pytmc - - pv: OFF - io: io - - - - - - fReal - LREAL - The real value read from the output - 64 - 384 - - - ItemType - Output - - - pytmc - - pv: VAL - io: i - - - - - - fScale - LREAL - 64 - 448 - - - - PouType - FunctionBlock - - - - - FB_TempSensor - 256 - - fResolution - LREAL - Resolution parameter from the Beckhoff docs. Default is 0.1 for 0.1 degree resolution - 64 - 64 - - 0.1 - - - - ItemType - Input - - - - - fTemp - LREAL - 64 - 128 - - - ItemType - Output - - - pytmc - - pv: TEMP - io: input - field: EGU C - field: PREC 2 - - - - - - bConnected - BOOL - 8 - 192 - - - ItemType - Output - - - pytmc - - pv: CONN - io: input - field: ONAM Connected - field: ZNAM Disconnected - - - - - - bError - BOOL - 8 - 200 - - true - - - - ItemType - Output - - - pytmc - - pv: ERR - io: input - field: ONAM True - field: ZNAM False - - - - TcAddressType - Input - - - - - bUnderrange - BOOL - 8 - 208 - - - ItemType - Output - - - TcAddressType - Input - - - - - bOverrange - BOOL - 8 - 216 - - - ItemType - Output - - - TcAddressType - Input - - - - - iRaw - INT - 16 - 224 - - - TcAddressType - Input - - - - - - PouType - FunctionBlock - - - - - ST_DbStateParams - 2496 - - sPmpsState - STRING(80) - PMPS database lookup name for this state - 648 - 0 - - - pytmc - - pv: PMPS_STATE - io: i - field: DESC PMPS Database Lookup Key - - - - - - stBeamParams - ST_BeamParams - Beam parameters associated with this state - 1760 - 672 - - - pytmc - - pv: BP - io: i - - - - - - bBeamParamsLoaded - BOOL - Set to TRUE once the PMPS library has loaded a valid state from the database - 8 - 2432 - - - pytmc - - pv: PMPS_LOADED - io: i - field: DESC TRUE if PMPS loaded parameters from the database. - - - - - - nRequestAssertionID - UDINT - Transition ID associated with this state - 32 - 2464 - - - pytmc - - pv: PMPS_ID - io: i - field: DESC Assertion Request ID - - - - - - - ST_PositionState - 3648 - - sName - STRING(80) - Name as queried via the NAME PV in EPICS - 648 - 0 - - Invalid - - - - pytmc - - pv: NAME - io: input - field: DESC Name of this position state - - - - - - fPosition - LREAL - Position associated with this state - 64 - 704 - - - pytmc - - pv: SETPOINT - io: io - field: DESC Axis position associated with this state - - - - - - nEncoderCount - UDINT - 32 - 768 - - - pytmc - - pv: ENCODER - io: i - field: DESC Encoder count associated with this state - - - - - - fDelta - LREAL - Maximum allowable deviation from fPosition while at the state - 64 - 832 - - - fVelocity - LREAL - Speed at which to move to this state - 64 - 896 - - - pytmc - - pv: VELO - io: io - field: DESC Speed at which to move to this state - - - - - - fAccel - LREAL - (optional) Acceleration to use for moves to this state - 64 - 960 - - - fDecel - LREAL - (optional) Deceleration to use for moves to this state - 64 - 1024 - - - bMoveOk - BOOL - Safety parameter. This must be set to TRUE by the PLC program to allow moves to this state. This is expected to change as conditions change. - 8 - 1088 - - - pytmc - - pv: MOVE_OK - io: i - field: ZNAM FALSE - field: ONAM TRUE - field: DESC TRUE if the move would be safe - - - - - - bLocked - BOOL - Signifies to FB_PositionStateLock that this state should be immutable - 8 - 1096 - - - bValid - BOOL - Set this to TRUE when you make your state. This defaults to FALSE so that uninitialized states can never be moved to - 8 - 1104 - - - bUseRawCounts - BOOL - Set this to TRUE when you want to use the raw encoder counts to define the state - 8 - 1112 - - - bUpdated - BOOL - Is set to TRUE by FB_PositionStateInternal when called - 8 - 1120 - - - stPMPS - ST_DbStateParams - We give this a state name and it is used to load parameters from the pmps database. - 2496 - 1152 - - - - FB_PositionStateMove - 3200 - - stMotionStage - ST_MotionStage - Motor to move - 64 - 64 - - - ItemType - InOut - - - - - stPositionState - ST_PositionState - State to move to - 64 - 128 - - - ItemType - InOut - - - pytmc - - pv: - - - - - - bExecute - BOOL - Start move on rising edge, stop move on falling edge - 8 - 192 - - - ItemType - Input - - - pytmc - - pv: GO - io: io - field: ZNAM False - field: ONAM True - - - - - - bReset - BOOL - Rising edge error reset - 8 - 200 - - - ItemType - Input - - - pytmc - - pv: RESET - io: io - field: ZNAM False - field: ONAM True - - - - - - enumMotionRequest - E_MotionRequest - Define behavior for when a move is already active - 16 - 208 - - E_MotionRequest.WAIT - - - - ItemType - Input - - - - - bAtState - BOOL - TRUE if the motor is at this state - 8 - 224 - - - ItemType - Output - - - pytmc - - pv: AT_STATE - io: input - field: ZNAM False - field: ONAM True - - - - - - bError - BOOL - TRUE if we have an error - 8 - 232 - - - ItemType - Output - - - pytmc - - pv: ERR - io: input - field: ZNAM False - field: ONAM True - - - - - - nErrorID - UDINT - Error code - 32 - 256 - - - ItemType - Output - - - pytmc - - pv: ERRID - io: input - - - - - - sErrorMessage - STRING(80) - Error description - 648 - 288 - - - ItemType - Output - - - pytmc - - pv: ERRMSG - io: input - - - - - - bBusy - BOOL - TRUE if we are moving to a state - 8 - 936 - - - ItemType - Output - - - pytmc - - pv: BUSY - io: input - field: ZNAM False - field: ONAM True - - - - - - bDone - BOOL - TRUE if we are not moving and we reached a state successfully on our last move - 8 - 944 - - - ItemType - Output - - - pytmc - - pv: DONE - io: input - field: ZNAM False - field: ONAM True - - - - - - fbMotionRequest - FB_MotionRequest - 1920 - 960 - - - rtExec - R_TRIG - 128 - 2880 - - - rtReset - R_TRIG - 128 - 3008 - - - bInnerExec - BOOL - 8 - 3136 - - - bAllowMove - BOOL - 8 - 3144 - - - nLatchAllowErrorID - UDINT - 32 - 3168 - - - - PouType - FunctionBlock - - - - - FB_RawCountConverter - 8576 - - stParameters - ST_AxisParameterSet - Parameters to check against - 8192 - 64 - - - ItemType - Input - - - - - nCountCheck - UDINT - Optional count to convert to a real position - 32 - 8256 - - - ItemType - Input - - - - - fPosCheck - LREAL - Optional position to convert to encoder counts - 64 - 8320 - - - ItemType - Input - - - - - nCountGet - UDINT - If converting position, the number of counts - 32 - 8384 - - - ItemType - Output - - - - - fPosGet - LREAL - If converting counts, the position - 64 - 8448 - - - ItemType - Output - - - - - bBusy - BOOL - True during a parameter get/calc - 8 - 8512 - - - ItemType - Output - - - - - bDone - BOOL - True after a successful get/calc - 8 - 8520 - - - ItemType - Output - - - - - bError - BOOL - True if the calculation errored - 8 - 8528 - - - ItemType - Output - - - - - - PouType - FunctionBlock - - - - - FB_PositionStateLock - 3904 - - stPositionState - ST_PositionState - 64 - 64 - - - ItemType - InOut - - - - - bEnable - BOOL - 8 - 128 - - - ItemType - Input - - - - - stCachedPositionState - ST_PositionState - 3648 - 192 - - - bInit - BOOL - 8 - 3840 - - false - - - - - PouType - FunctionBlock - - - - - FB_PositionStateInternal - 12672 - - stMotionStage - ST_MotionStage - 64 - 64 - - - ItemType - InOut - - - - - stPositionState - ST_PositionState - 64 - 128 - - - ItemType - InOut - - - - - fbEncConverter - FB_RawCountConverter - 8576 - 192 - - - fbLock - FB_PositionStateLock - 3904 - 8768 - - - - PouType - FunctionBlock - - - - - FB_PositionStateBase - 256512 - - stMotionStage - ST_MotionStage - Motor to move - 64 - 64 - - - ItemType - InOut - - - - - bEnable - BOOL - If TRUE, start a move when setState transitions to a nonzero number - 8 - 128 - - - ItemType - Input - - - - - bReset - BOOL - On rising edge, reset this FB - 8 - 136 - - - ItemType - Input - - - pytmc - - pv: RESET - io: io - field: ZNAM False - field: ONAM True - - - - - - bError - BOOL - If TRUE, there is an error - 8 - 144 - - - ItemType - Output - - - pytmc - - pv: ERR - io: i - field: ZNAM False - field: ONAM True - - - - - - nErrorId - UDINT - Error ID - 32 - 160 - - - ItemType - Output - - - pytmc - - pv: ERRID - io: i - - - - - - sErrorMessage - STRING(80) - The error that caused bError to flip TRUE - 648 - 192 - - - ItemType - Output - - - pytmc - - pv: ERRMSG - io: i - - - - - - bBusy - BOOL - If TRUE, we are moving the motor - 8 - 840 - - - ItemType - Output - - - pytmc - - pv: BUSY - io: i - field: ZNAM False - field: ONAM True - - - - - - bDone - BOOL - If TRUE, we are not moving the motor and the last move completed successfully - 8 - 848 - - - ItemType - Output - - - pytmc - - pv: DONE - io: i - field: ZNAM False - field: ONAM True - - - - - - arrStates - ST_PositionState - - 1 - 15 - - Pre-allocated array of states - 54720 - 896 - - - pytmc - - pv: - io: io - expand: %.2d - - - - - - setState - INT - Corresponding arrStates index to move to, or 0 if no move selected - 16 - 55616 - - - goalState - INT - The current position we are trying to reach, or 0 - 16 - 55632 - - - getState - INT - The readback position - 16 - 55648 - - - bInit - BOOL - 8 - 55664 - - - stUnknown - ST_PositionState - 3648 - 55680 - - - stGoal - ST_PositionState - 3648 - 59328 - - - fbStateMove - FB_PositionStateMove - 3200 - 62976 - - - fbStateInternal - FB_PositionStateInternal - - 1 - 15 - - 190080 - 66176 - - - nIndex - INT - 16 - 256256 - - - bNewGoal - BOOL - 8 - 256272 - - - bInnerExec - BOOL - 8 - 256280 - - - bInnerReset - BOOL - 8 - 256288 - - - rtReset - R_TRIG - 128 - 256320 - - - bMoveRequested - BOOL - 8 - 256448 - - - Exec - - - StateHandler - - - - PouType - FunctionBlock - - - obsolete - Use FB_PositionState1D instead - - - - - I_HigherAuthority - 64 - PVOID - - CheckRequest - Verify with this higher authority that the request is being included - BOOL - 8 - - nReqID - DWORD - 32 - - - - RemoveRequest - Remove the request from this higher authority - BOOL - 8 - - nReqID - StateID to remove - DWORD - 32 - - - - RequestBP - Request a BP from this higher authority - BOOL - 8 - - nReqID - StateID of state requesting beam parameter set - DWORD - 32 - - - stReqBP - Requested beam params - ST_BeamParams - 1760 - - - - - I_LowerAuthority - 64 - PVOID - - __getnLowerAuthorityID - DWORD - 32 - - - property - - - - - ElevateRequest - <Arbiter Internal> - Elevates the arbitrated BP set to something above. - Could be another arbiter, or a BP requester/ IO, - or an FB that locks in a specific portion of the BP set. - BOOL - 8 - - HigherAuthority - I_HigherAuthority - 64 - - - - - T_HashTableEntry - 128 - - key - DWORD - 32 - 0 - - 0 - - - - pytmc - - pv: Key - io: i - - - - - - value - PVOID - 64 - 64 - - 0 - - - - - ST_BP_ArbInternal - 2464 - ST_BeamParams - - nId - DWORD - 32 - 1760 - - - pytmc - pv: ID - io: i - - - - - - LiveInTable - BOOL - 8 - 1792 - - - pytmc - pv: Live - io: i - - - - - - sDevName - STRING(80) - 648 - 1800 - - - pytmc - pv: Device - io: i - - - - - - - T_HashTableEntry - Hash table entry - 384 - - key - DWORD - Entry key: 32 bit unsigned integer or pointer - 32 - 0 - - 0 - - - - value - PVOID - Entry value: 32/64 bit unsigned integer or pointer - 64 - 64 - - 0 - - - - lock - DWORD - Node state flags: Bit 0 <0..1>: 0 = node free, 1 = node in use, other bits reserved - 32 - 128 - - 0 - - - - conditionalshow - - - - - pNext - PVOID - Pointer to next hash table element - 64 - 192 - - 0 - - - - conditionalshow - - - - - pNextFree - PVOID - Pointer to next free element - 64 - 256 - - 0 - - - - conditionalshow - - - - - pNextGlob - PVOID - Pointer to next global element - 64 - 320 - - 0 - - - - conditionalshow - - - - - - T_HHASHTABLE - Hash table object handle - 6848 - - nCount - UDINT - Number of used hash table entries - 32 - 0 - - 0 - - - - nFree - UDINT - Number of free hash table entries - 32 - 32 - - 0 - - - - pEntries - T_HashTableEntry - Pointer to table array - 64 - 64 - - 0 - - - - conditionalshow - - - - - cbEntries - UDINT - Byte size of table array - 32 - 128 - - 0 - - - - conditionalshow - - - - - nElements - UDINT - Number of table/array elements - 32 - 160 - - 0 - - - - conditionalshow - - - - - cbElement - UDINT - Byte size of one array element - 32 - 192 - - 0 - - - - conditionalshow - - - - - pEntrys - T_HashTableEntry - - 0 - 101 - - 6464 - 256 - - - conditionalshow - - - - - pFreeEntrys - T_HashTableEntry - 64 - 6720 - - 0 - - - - conditionalshow - - - - - pFirstEntry - T_HashTableEntry - 64 - 6784 - - 0 - - - - conditionalshow - - - - - - FB_HashTableCtrl - Hash table control function block - 704 - - hTable - T_HHASHTABLE - Hash table handle variable - 64 - 64 - - - ItemType - InOut - - - - - key - DWORD - Entry key: 32 bit unsigned integer or pointer, used by A_Lookup, A_Remove method, the key.lookup variable is also used by A_Add method - 32 - 128 - - 0 - - - - ItemType - Input - - - - - putValue - PVOID - Entry value: 32/64 bit unsigned integer or pointer - 64 - 192 - - 0 - - - - ItemType - Input - - - - - putPosPtr - T_HashTableEntry - Hash table entry position pointer, used by A_GetNext - 64 - 256 - - 0 - - - - ItemType - Input - - - - - bOk - BOOL - TRUE = success, FALSE = error - 8 - 320 - - false - - - - ItemType - Output - - - - - getValue - PVOID - Entry value: 32/64 bit unsigned integer or pointer - 64 - 384 - - 0 - - - - ItemType - Output - - - - - getPosPtr - T_HashTableEntry - returned by A_GetFirstEntry, A_GetNextEntry, A_Add, A_Lookup and A_Remove method - 64 - 448 - - 0 - - - - ItemType - Output - - - - - p - T_HashTableEntry - 64 - 512 - - 0 - - - - conditionalshow - - - - - n - T_HashTableEntry - 64 - 576 - - 0 - - - - conditionalshow - - - - - nHash - DWORD - 32 - 640 - - 0 - - - - conditionalshow - - - - - A_Reset - - - A_RemoveAll - - - A_GetNext - - - A_GetIndexAtPosPtr - - - A_Add - - - A_Remove - - - A_GetFirst - - - A_RemoveFirst - - - A_Lookup - - - - PouType - FunctionBlock - - - conditionalshow_all_locals - - - - - FB_BeamParamAssertionPool - This function block implements simple database. Data element values are stored in the hash table. - 222144 - - key - DWORD - Entry key: used by A_Lookup, A_Remove method, the key variable is also used by A_Add method - 32 - 64 - - 0 - - - - ItemType - Input - - - - - putPosPtr - T_HashTableEntry - Hash table entry position pointer (used by A_Find, A_GetNext, A_GetPrev) - 64 - 128 - - 0 - - - - ItemType - Input - - - - - putValue - ST_BP_ArbInternal - Hash table entry value (used by A_AddHead, A_AddTail, A_Find ) - 2464 - 192 - - - ItemType - Input - - - - - bOk - BOOL - TRUE = Success, FALSE = Failed - 8 - 2656 - - false - - - - ItemType - Output - - - - - getPosPtr - T_HashTableEntry - Returned hash table entry position pointer - 64 - 2688 - - 0 - - - - ItemType - Output - - - - - getValue - ST_BP_ArbInternal - Returned hash table entry value - 2464 - 2752 - - - ItemType - Output - - - - - nCount - UDINT - Hash table size (number of used entries, used by A_Count) - 32 - 5216 - - 0 - - - - ItemType - Output - - - - - epicsDataPool - ST_BP_ArbInternal - - 1 - 20 - - Structured data element pool for display in EPICS - 49280 - 5248 - - - pytmc - - pv: Entry - io: i - - - - - - dataPool - ST_BP_ArbInternal - - 0 - 61 - - Structured data element pool - 150304 - 54528 - - - entries - T_HashTableEntry - - 0 - 61 - - Max. number of hash table entries. The value of table entry = 32 bit integer (pointer to dataPool-array-entry) - 7808 - 204864 - - - fbTable - FB_HashTableCtrl - basic hash table control function block - 704 - 212672 - - - hTable - T_HHASHTABLE - hash table handle - 6848 - 213376 - - - pRefPtr - ST_BP_ArbInternal - 64 - 220224 - - 0 - - - - indexOfElem - ULINT - Integer value (max. size: x86=>32bit, x64=>64bit) - 64 - 220288 - - - cstSafeBeam - ST_BeamParams - MG - 1760 - 220352 - - - .nTran - 0 - - - .neVRange - 0 - - - .nRate - 0 - - - .nBCRange - 0 - - - - - A_Reset - - - A_Count - - - DataPoolToEpics - - - A_Add - - - A_Remove - - - A_GetFirst - - - A_GetNext - - - A_Lookup - - - - PouType - FunctionBlock - - - no_check - - - - - FB_Arbiter - FB Arbiter -A. Wallace 2020-6-26 - -The arbiter primary objectives are: -- Provide a simple interface for devices to request beam parameter sets -- Provide a way for devices to verify their BPS is active in the arbiter -- Provide a way for devices remove their requests from evaluation -- Evaluate all active beam parameter requests registered with the aribiter, -to determine the safest combination of all sets, provide this set as an output. -- Do all of this with minimal overhead - -To these ends, the arbiter uses a hash-table, the rows being a state-id as the key, and a corresponding - beam parameter set to be evaluated against all the other sets (or rows), in the table. - -The hash table can be thought of as an array on steriods, they are worth reading about, suffice to say -the hash table will tell you when you reach the end of all the entries, and enables us to find entries quickly. - -These features efficiently address the addition, removal, and verification of beam parameter sets listed in the above requirements. - - 495744 - I_HigherAuthority - I_LowerAuthority - - nRequestsCount - UDINT - How many requests are currently in the arbiter - 32 - 192 - - - fbBPAssertionPool - FB_BeamParamAssertionPool - Table of active beam parameter assertions - 222144 - 256 - - - pytmc - - pv: AP - io: i - field: DESC Assertion Pool - - - - - - xRequestMade - BOOL - Arbiter has confirmed its request has made it into the beam parameter request - 8 - 222400 - - - nArbiterID - UDINT - Arbiter ID, used for making higher-level BP requests - 32 - 222432 - - - pytmc - - pv: ArbiterID - io: i - field: DESC Arbiter ID for elev. req. - - - - - - nNextCohort - UDINT - The cohort ID any new requests will adopt, will become ReqInProgCohort at the start of the next acknowledgement cycle - 32 - 222464 - - 1 - - - - nAckInProgCohort - UDINT - The cohort ID currently being acknowledged, will become nActiveCohort after acknowledgement from HA - 32 - 222496 - - 0 - - - - nActiveCohort - UDINT - Requests with cohorts <= to this value will be considered active in CheckRequest - 32 - 222528 - - 0 - - - - pytmc - - pv: CohortCounter - io: i - field: DESC Intrnl cohort counter - - - - - - bStartNewAckRequest - BOOL - Set by an add or remove method call, triggers an ack cycle - 8 - 222560 - - - bAckInProgress - BOOL - Set by ElevateReq when there is a new ack request and reset when the ack cycle is complete - 8 - 222568 - - - idTransmission - DWORD - ID of BP limiting transmission - 32 - 222592 - - - idRate - DWORD - ID of BP limiting rate - 32 - 222624 - - - sPath - T_MaxString - 2048 - 222656 - - - instance-path - - - noinit - - - - - sArbName - T_MaxString - 2048 - 224704 - - - InfoStringFmtr - FB_FormatString - 8576 - 226752 - - - bVerbose - BOOL - 8 - 235328 - - false - - - - q_stBeamParams - ST_BeamParams - Updated on each cycle of the arbiter FB with the current arbitrated beam parameter set - 1760 - 235360 - - - ItemType - Output - - - pytmc - - pv: ArbitratedBP - io: i - field: DESC Arbitrated BP - - - - - - q_xStateIDFound - BOOL - Set true if a state-id is found in the assertion pool after calling A_VerifyAssertion - 8 - 237120 - - - ItemType - Output - - - - - __FB_ARBITER__GETARBITRATEDBP__XFIRSTPASS - BOOL - 8 - 237128 - - : u - - - - __FB_ARBITER__GETARBITRATEDBP__FBGETCURTASKIDX - GETCURTASKINDEX - 256 - 237184 - - - __FB_ARBITER__GETARBITRATEDBP__LASTCYCLECOUNT - UDINT - 32 - 237440 - - - __FB_ARBITER__GETARBITRATEDBP__FBLOGMESSAGE - FB_LogMessage - 86080 - 237504 - - - __FB_ARBITER__ADDREQUEST__FBLOG - FB_LogMessage - 86080 - 323584 - - - __FB_ARBITER__REMOVEREQUEST__FBLOG - FB_LogMessage - 86080 - 409664 - - - __getnEntryCount - How many entries are in the arbiter now - UDINT - 32 - - nEntryCount - UDINT - 32 - - - - property - - - - - CheckRequest - Checks request ID is included in arbitration all the way to the accelerator interface -Use like so: -IF fbArbiter.CheckRequest(nStateIDAssertionToCheck) AND (other logic) THEN: - Request is found and active in arbitration,. Do something. -ELSE: - Request was not found, or is not yet included in arbitration. Don't do something/ wait. - - - BOOL - 8 - - nReqID - DWORD - 32 - - - BP - ST_BeamParams - 1760 - - - - ElevateRequest - <Arbiter Internal> - Elevates the arbitrated BP set to something above. - Could be another arbiter, or a BP requester/ IO, - or an FB that locks in a specific portion of the BP set. - BOOL - 8 - - HigherAuthority - I_HigherAuthority - 64 - - - - GetArbitratedBP - Executes Arbitration between all requested beam parameter sets - ST_BeamParams - 1760 - - getPosPtr - T_HashTableEntry - 64 - - - getBPStructInt - ST_BP_ArbInternal - 2464 - - - stOutputBP - Holding struct for arbitration process - ST_BP_ArbInternal - 2464 - - - xFirstPass - BOOL - 8 - - - uselocation - __FB_ARBITER__GETARBITRATEDBP__XFIRSTPASS - - - - - fbGetCurTaskIdx - GETCURTASKINDEX - 256 - - - uselocation - __FB_ARBITER__GETARBITRATEDBP__FBGETCURTASKIDX - - - - - LastCycleCount - UDINT - 32 - - - uselocation - __FB_ARBITER__GETARBITRATEDBP__LASTCYCLECOUNT - - - - - fbLogMessage - FB_LogMessage - 86080 - - - uselocation - __FB_ARBITER__GETARBITRATEDBP__FBLOGMESSAGE - - - - - - ArbitrateBP - Kernel of the arbiter - Logic for determining which beam parameter is the most conservative across all request sets. - ST_BP_ArbInternal - 2464 - - stBP1 - ST_BP_ArbInternal - 2464 - - - stBP2 - ST_BP_ArbInternal - 2464 - - - idx - UINT - 16 - - - bcBitmask - WORD - 16 - - - - no_check - - - - - __getnLowerAuthorityID - DWORD - 32 - - nLowerAuthorityID - DWORD - 32 - - - - property - - - - - AddRequest - Adds a request to the arbiter pool. - Returns true if the request was successfully added, false if not enough space or a request with the same ID is already present. - BOOL - 8 - - nReqID - Unique ID within aribter for the request. Make sure this is unique for every device + state combination - DWORD - 32 - - - stReqBP - Requested beam params - ST_BeamParams - 1760 - - - sDevName - Name of the device making the request - STRING(80) - 648 - - - BP_Int - ST_BP_ArbInternal - 2464 - - - fbLog - FB_LogMessage - 86080 - - - uselocation - __FB_ARBITER__ADDREQUEST__FBLOG - - - - - - RemoveRequest - Removes request from abritration. - BOOL - 8 - - nReqId - DWORD - 32 - - - fbLog - FB_LogMessage - 86080 - - - uselocation - __FB_ARBITER__REMOVEREQUEST__FBLOG - - - - - BP_Int - ST_BP_ArbInternal - 2464 - - - - CheckRequestInPool - Verify request is at least in the local arbiter - Does not verify request has been included in arbitration. - Use CheckRequest instead. - BOOL - 8 - - nReqID - DWORD - 32 - - - - RequestBP - BOOL - 8 - - nReqID - StateID of state requesting beam parameter set - DWORD - 32 - - - stReqBP - Requested beam params - ST_BeamParams - 1760 - - - - - PouType - FunctionBlock - - - reflection - - - - - FB_PositionStatePMPS_Base - 20096 - - stMotionStage - ST_MotionStage - 64 - 64 - - - ItemType - InOut - - - - - arrStates - ST_PositionState - - 1 - 15 - - 64 - 128 - - - ItemType - InOut - - - - - bArbiterEnabled - BOOL - 8 - 192 - - true - - - - ItemType - Input - - - - - bMaintMode - BOOL - 8 - 200 - - - ItemType - Input - - - pytmc - - pv: MAINT - io: io - - - - - - bRequestTransition - BOOL - 8 - 208 - - - ItemType - Input - - - - - setState - INT - 16 - 224 - - - ItemType - Input - - - - - getState - INT - 16 - 240 - - - ItemType - Input - - - - - fStateBoundaryDeadband - LREAL - 64 - 256 - - 0 - - - - ItemType - Input - - - - - tArbiterTimeout - TIME - 32 - 320 - - T#1s - - - - ItemType - Input - - - - - bMoveOnArbiterTimeout - BOOL - 8 - 352 - - true - - - - ItemType - Input - - - - - bTransitionAuthorized - BOOL - 8 - 360 - - - ItemType - Output - - - - - bForwardAuthorized - BOOL - 8 - 368 - - - ItemType - Output - - - - - bBackwardAuthorized - BOOL - 8 - 376 - - - ItemType - Output - - - - - bArbiterTimeout - BOOL - 8 - 384 - - - ItemType - Output - - - - - stTransitionDb - ST_DbStateParams - 2496 - 416 - - - pytmc - - pv: TRANS - io: i - - - - - - stTransitionBeam - ST_BeamParams - 1760 - 2912 - - - stTransitionState - ST_PositionState - 3648 - 4672 - - - bInit - BOOL - 8 - 8320 - - true - - - - bTransDone - BOOL - 8 - 8328 - - - rtTransReq - R_TRIG - 128 - 8384 - - - bBPTMDone - BOOL - 8 - 8512 - - - rtBPTMDone - R_TRIG - 128 - 8576 - - - ftMotorExec - F_TRIG - 128 - 8704 - - - rtTransDone - R_TRIG - 128 - 8832 - - - rtDoLateFinish - R_TRIG - 128 - 8960 - - - tonDone - TON - 256 - 9088 - - - stStateReq - ST_PositionState - 3648 - 9344 - - - mcPower - MC_Power - 960 - 12992 - - - fUpperBound - LREAL - 64 - 13952 - - - fLowerBound - LREAL - 64 - 14016 - - - nGoalState - INT - 16 - 14080 - - - stGoalState - ST_PositionState - 3648 - 14144 - - - fActPos - LREAL - 64 - 17792 - - - fReqPos - LREAL - 64 - 17856 - - - bInTransition - BOOL - 8 - 17920 - - - stBeamNeeded - ST_BeamParams - 1760 - 17952 - - - bFwdOk - BOOL - 8 - 19712 - - - bBwdOk - BOOL - 8 - 19720 - - - tonArbiter - TON - 256 - 19776 - - - bLateFinish - BOOL - 8 - 20032 - - - bInternalAuth - BOOL - 8 - 20040 - - - AssertHere - - - HandleBPTM - - - HandleFFO - - - ClearAsserts - - - Exec - - - HandlePmpsDb - - - GetBeamFromState - ST_BeamParams - 1760 - - nState - INT - 16 - - - stState - ST_PositionState - 3648 - - - - GetStateCode - INT - 16 - - nState - INT - 16 - - - - GetStateStruct - ST_PositionState - 3648 - - nState - INT - 16 - - - - - PouType - FunctionBlock - - - obsolete - Use FB_PositionStatePMPS1D instead - - - - - FB_JsonDocToSafeBP - 115008 - - bExecute - BOOL - Rising Edge - 8 - 64 - - - ItemType - Input - - - - - jsonDoc - SJsonValue - 64 - 128 - - - ItemType - Input - - - - - sDeviceName - STRING(80) - 648 - 192 - - - ItemType - Input - - - - - bHasDevice - BOOL - 8 - 840 - - - ItemType - Output - - - - - bHasAllStates - BOOL - 8 - 848 - - - ItemType - Output - - - - - bHasAllParameters - BOOL - 8 - 856 - - true - - - - ItemType - Output - - - - - bBusy - BOOL - 8 - 864 - - - ItemType - Output - - - - - bError - BOOL - 8 - 872 - - - ItemType - Output - - - - - nErrId - UDINT - 32 - 896 - - - ItemType - Output - - - - - sErrMsg - STRING(80) - 648 - 928 - - - ItemType - Output - - - - - arrStates - ST_DbStateParams - ARRAY [1.. MOTION_GVL.MAX_STATES] ; - 64 - 1600 - - - ItemType - Input - - - variable_length_array - - - Dimensions - 1 - - - - - io_fbFFHWO - FB_HardwareFFOutput - 64 - 1664 - - - ItemType - InOut - - - - - fbJson - FB_JsonDomParser - JSON - 448 - 1728 - - - jsonProp - SJsonValue - 64 - 2176 - - - jsonValue - SJsonValue - 64 - 2240 - - - jsonParam - SJsonValue - 64 - 2304 - - - jsonnTran - SJsonValue - 64 - 2368 - - - jsonnRate - SJsonValue - 64 - 2432 - - - Step - INT - 16 - 2496 - - - index - DINT - 32 - 2528 - - - nStateCount - DINT - 32 - 2560 - - - RisingEdge - R_TRIG - 128 - 2624 - - - tNewMessage - R_TRIG - Logger - 128 - 2752 - - - fbLogger - FB_LogMessage - 86080 - 2880 - - - .eSubsystem - E_Subsystem.MPS - - - .nMinTimeViolationAcceptable - 10 - - - - - FFO - FB_FastFault - FFO - 25920 - 88960 - - - .i_Desc - Fault occurs when there is an error loading safe beam parameters from json file - - - .i_TypeCode - 65299 - - - - - sbuffReadSmall - INT - 16 - 114880 - - - ACT_FFO - - - ACT_Logger - - - M_LoadSafeBP - BOOL - 8 - - sStateName - STRING(80) - 648 - - - Index - DINT - 32 - - - sAperture - bHasAllParameters : BOOL := TRUE; - STRING(80) - 648 - - - nAperture - INT - 16 - - - sEV - STRING(80) - 648 - - - sBC - STRING(80) - 648 - - - nIndex - INT - 16 - - - - - PouType - FunctionBlock - - - - - E_BPTMState - 16 - INT - - Init - 0 - - - NewTarget - 1000 - - - RequestBP - 1500 - - - WaitForBP - 2500 - - - WaitingForTransitionAssertion - 2000 - - - WaitingForFinalAssertion - 3000 - - - Transitioning - 4000 - - - WaitForFinalBP - 5000 - - - CleaningUp - 6000 - - - Idle - 10000 - - - Done - 8000 - - - Error - 9000 - - - - BeamParameterTransitionManager - -Implements the procedure for safely transitioning between device states. - -NOTE: -The BPTM will throw an error if the arbiter does not have enough space for the transition and new final assertion. - - - 61568 - - fbArbiter - FB_Arbiter - Connect to local arbiter - 64 - 64 - - - ItemType - InOut - - - - - i_sDeviceName - STRING(80) - Name of the device requesting the transition - 648 - 128 - - Device - - - - ItemType - Input - - - - - i_TransitionAssertionID - UDINT - Must not be 0 or EXCLUDED_ID - 32 - 800 - - 0 - - - - ItemType - Input - - - - - i_stTransitionAssertion - ST_BeamParams - Assertion required during transition (always safer than anything inbetween) - 1760 - 832 - - - ItemType - Input - - - - - i_nRequestedAssertionID - UDINT - Must not be 0 or EXCLUDED_ID - 32 - 2592 - - 0 - - - - ItemType - Input - - - - - i_stRequestedAssertion - ST_BeamParams - PMPS_GVL.cstSafeBeam; //Requested assertion, change whenever - 1760 - 2624 - - - .nTran - 0 - - - .neVRange - 0 - - - .nRate - 0 - - - .nBCRange - 0 - - - - - ItemType - Input - - - - - i_xMoving - BOOL - Provide rising edge when device begins moving <remove> - 8 - 4384 - - false - - - - ItemType - Input - - - - - i_xDoneMoving - BOOL - Provide rising edge when device is done with a move - 8 - 4392 - - false - - - - ItemType - Input - - - - - stCurrentBeamParameters - ST_BeamParams - Connect to current beam parameters - 1760 - 4416 - - - ItemType - Input - - - - - bRetry - BOOL - Rising edge to cycle back through the BPTM process. Use if something in the process timed out or failed. This will interrupt a current process - 8 - 6176 - - false - - - - ItemType - Input - - - - - q_xTransitionAuthorized - BOOL - Rising edge indicating the device is safe to move, use as input to move execute (which requires a rising edge) - 8 - 6184 - - false - - - - ItemType - Output - - - - - bError - BOOL - Set if some issue occurs within the bptm - 8 - 6192 - - - ItemType - Output - - - - - nErrId - UINT - Set to non-zero to help understand the error. - 16 - 6208 - - - ItemType - Output - - - - - bDone - BOOL - 8 - 6224 - - - ItemType - Output - - - - - bBusy - BOOL - 8 - 6232 - - - ItemType - Output - - - - - nTargetAssertionID - UDINT - 32 - 6240 - - 0 - - - - stTargetAssertion - ST_BeamParams - Target assertion - 1760 - 6272 - - - nCurrentAssertionID - UDINT - ID of last set state (zero until a state is reached) - 32 - 8032 - - 0 - - - - xNewBP - BOOL - 8 - 8064 - - - xTranBP - BOOL - 8 - 8072 - - - xFinalBPInArb - BOOL - 8 - 8080 - - - xFinalBP - BOOL - 8 - 8088 - - - eBPTMState - E_BPTMState - 16 - 8096 - - E_BPTMState.Init - - - - ePrevState - E_BPTMState - 16 - 8112 - - E_BPTMState.Init - - - - xEntry - BOOL - 8 - 8128 - - - rTransition - R_TRIG - 128 - 8192 - - - xNewTarget - BOOL - 8 - 8320 - - - bTransAssertionFailed - BOOL - 8 - 8328 - - - bFinalAssertionFailed - BOOL - 8 - 8336 - - - LogStrBuffer - STRING(80) - - 0 - 41 - - 26568 - 8344 - - - LogBuffIdx - FB_Index - 128 - 34944 - - - .LowerLimit - 0 - - - .UpperLimit - 40 - - - - - nAssrtAttempt - INT - Number of times we have tried asserting a BP set - 16 - 35072 - - - rtRetry - R_TRIG - 128 - 35136 - - - rtError - R_TRIG - 128 - 35264 - - - ffTimeout - FB_FastFault - 25920 - 35392 - - - .i_Desc - Preemptive requests timed out in BPTM - - - .i_TypeCode - 10 - - - .i_xAutoReset - false - - - - - rtDoneMoving - R_TRIG - 128 - 61312 - - - bLatchDoneMoving - BOOL - 8 - 61440 - - - bFirstMove - BOOL - 8 - 61448 - - true - - - - LogBuffSize - INT - 16 - 61456 - - 40 - - - - cMaxAttempts - INT - 16 - 61472 - - 3 - - - - cReqArbCapacity - UDINT - The thought here is, a BPTM needs at most 2 arbiter slots to complete a transition. - If we're at capacity, it means some BPTM before this one has begun a transition, - and will require at least one more arbiter spot to complete. - - 32 - 61504 - - 2 - - - - AuthorizeTransition - - - WaitingForFinalAssertion_DO - - - NewTarget_ENTRY - - - AssertTransitionBP - - - AssertFinalBP - - - WaitingForTransitionAssertion_DO - - - RemoveTransitionAssertion - - - SetNewTarget - - - RequestBP_DO - - - WaitingForTransitionAssertion_EXIT - - - WaitingForFinalAssertion_EXIT - - - DeauthorizeTransition - - - LogActions - BOOL - 8 - - LogStr - STRING(80) - 648 - - - - no_check - - - - - - PouType - FunctionBlock - - - no_check - - - - - FB_PositionStatePMPS - 396032 - FB_PositionStatePMPS_Base - - fbArbiter - FB_Arbiter - 64 - 20096 - - - ItemType - InOut - - - - - fbFFHWO - FB_HardwareFFOutput - 64 - 20160 - - - ItemType - InOut - - - - - bReadPmpsDb - BOOL - 8 - 20224 - - - ItemType - Input - - - - - sPmpsDeviceName - STRING(80) - 648 - 20232 - - - ItemType - Input - - - - - sTransitionKey - STRING(80) - 648 - 20880 - - - ItemType - Input - - - - - stPmpsDoc - SJsonValue - 64 - 21568 - - - ItemType - Input - - - - - stHighBeamThreshold - ST_BeamParams - 1760 - 21632 - - - ItemType - Input - - - - - bBPOKAutoReset - BOOL - 8 - 23392 - - false - - - - ItemType - Input - - - - - arrPMPS - ST_DbStateParams - - 0 - 16 - - 39936 - 23424 - - - nBPIndex - UINT - 16 - 63360 - - - nTransitionAssertionID - UDINT - 32 - 63392 - - - nLastReqAssertionID - UDINT - 32 - 63424 - - - fbReadPmpsDb - FB_JsonDocToSafeBP - 115008 - 63488 - - - ftDbBusy - F_TRIG - 128 - 178496 - - - rtReadDBExec - R_TRIG - 128 - 178624 - - - ftRead - F_TRIG - 128 - 178752 - - - bptm - BeamParameterTransitionManager - 61568 - 178880 - - - ffBeamParamsOk - FB_FastFault - 25920 - 240448 - - - ffZeroRate - FB_FastFault - 25920 - 266368 - - - ffBPTMTimeoutAndMove - FB_FastFault - 25920 - 292288 - - - ffBPTMError - FB_FastFault - 25920 - 318208 - - - ffMaint - FB_FastFault - 25920 - 344128 - - - ffUnknown - FB_FastFault - 25920 - 370048 - - - bFFOxOk - BOOL - 8 - 395968 - - - bAtSafeState - BOOL - 8 - 395976 - - - nIter - UINT - 16 - 395984 - - - HandlePmpsDb - - - HandleFFO - - - AssertHere - - - ClearAsserts - - - HandleBPTM - - - - PouType - FunctionBlock - - - obsolete - Use FB_PositionStatePMPS1D instead - - - - - FB_NCErrorFFO - 28800 - - stMotionStage - ST_MotionStage - Motion stage to monitor - 64 - 64 - - - ItemType - InOut - - - - - fbFFHWO - FB_HardwareFFOutput - FFO to trip - 64 - 128 - - - ItemType - InOut - - - - - bReset - BOOL - Reset the fault - 8 - 192 - - - ItemType - Input - - - - - bAutoReset - BOOL - Auto-reset the fault - 8 - 200 - - - ItemType - Input - - - - - nLowErrorId - UDINT - The lowest error code that will trip the FFO - 32 - 224 - - 16384 - - - - ItemType - Input - - - - - nHighErrorId - UDINT - The highest error code that will trip the FFO - 32 - 256 - - 20479 - - - - ItemType - Input - - - - - sDesc - STRING(80) - A description of the fault - 648 - 288 - - Motor error - - - - ItemType - Input - - - - - bTripped - BOOL - Quick way for nearby code to check if this block has tripped the FFO. - 8 - 936 - - - ItemType - Output - - - - - nErrorTypeCode - UINT - Error code sent to PMPS. Is always 16#20XX, where XX is the first two hex in the NC error. - 16 - 944 - - - ItemType - Output - - - - - bInit - BOOL - 8 - 960 - - - stBeamParams - ST_BeamParams - 1760 - 992 - - - fbFF - FB_FastFault - 25920 - 2752 - - - rtTrip - R_TRIG - 128 - 28672 - - - - PouType - FunctionBlock - - - - - FB_EncErrorFFO - 29056 - - stMotionStage - ST_MotionStage - Motion stage to monitor - 64 - 64 - - - ItemType - InOut - - - - - fbFFHWO - FB_HardwareFFOutput - FFO to trip - 64 - 128 - - - ItemType - InOut - - - - - bReset - BOOL - Reset the fault - 8 - 192 - - - ItemType - Input - - - - - bAutoReset - BOOL - Auto reset the fault - 8 - 200 - - - ItemType - Input - - - - - bTripped - BOOL - Quick way for nearby code to check if this block has tripped the FFO. - 8 - 208 - - - ItemType - Output - - - - - fbNCErrorFFO - FB_NCErrorFFO - 28800 - 256 - - - .nLowErrorId - 17408 - - - .nHighErrorId - 17663 - - - .sDesc - Encoder error - - - - - - PouType - FunctionBlock - - - - - FB_PositionStateBase_WithPMPS - 683264 - FB_PositionStateBase - - fbArbiter - FB_Arbiter - 64 - 256512 - - - ItemType - InOut - - - - - fbFFHWO - FB_HardwareFFOutput - 64 - 256576 - - - ItemType - InOut - - - - - sPmpsDeviceName - STRING(80) - 648 - 256640 - - - ItemType - Input - - - - - sTransitionKey - STRING(80) - 648 - 257288 - - - ItemType - Input - - - - - bArbiterEnabled - BOOL - 8 - 257936 - - true - - - - ItemType - Input - - - pytmc - - pv: PMPS:ARB:ENABLE - io: io - - - - - - tArbiterTimeout - TIME - 32 - 257952 - - T#1s - - - - ItemType - Input - - - - - bMoveOnArbiterTimeout - BOOL - 8 - 257984 - - true - - - - ItemType - Input - - - - - fStateBoundaryDeadband - LREAL - 64 - 258048 - - 0 - - - - ItemType - Input - - - - - bBPOKAutoReset - BOOL - 8 - 258112 - - false - - - - ItemType - Input - - - - - fbStatePMPS - FB_PositionStatePMPS - 396032 - 258176 - - - pytmc - pv: PMPS - - - - - fbEncErrFFO - FB_EncErrorFFO - 29056 - 654208 - - - Exec - - - PMPSHandler - - - - PouType - FunctionBlock - - - obsolete - Use FB_PositionStatePMPS1D instead - - - - - ENUM_XS_YAG_States - 16 - INT - - Unknown - 0 - - - OUT - 1 - - - YAG1 - 2 - - - YAG2 - 3 - - - - qualified_only - - - generate_implicit_init_function - - - - - DUT_PositionState - 3648 - ST_PositionState - - - obsolete - DUT_PositionState has been renamed to ST_PositionState - - - - - FB_XS_YAG_States - 694720 - FB_PositionStateBase_WithPMPS - - enumSet - ENUM_XS_YAG_States - 16 - 683264 - - - ItemType - Input - - - pytmc - - pv: SET - io: io - - - - - - stOut - DUT_PositionState - 3648 - 683328 - - - ItemType - Input - - - - - stYag1 - DUT_PositionState - 3648 - 686976 - - - ItemType - Input - - - - - stYag2 - DUT_PositionState - 3648 - 690624 - - - ItemType - Input - - - - - bStatesLock - BOOL - 8 - 694272 - - - ItemType - Input - - - - - enumGet - ENUM_XS_YAG_States - 16 - 694288 - - - ItemType - Output - - - pytmc - - pv: GET - io: i - - - - - - bXSInit - BOOL - 8 - 694304 - - true - - - - fInDelta - LREAL - 64 - 694336 - - 2 - - - - fOutDelta - LREAL - 64 - 694400 - - 2 - - - - fInVelocity - LREAL - 64 - 694464 - - 0.5 - - - - fOutVelocity - LREAL - 64 - 694528 - - 0.5 - - - - fAccel - LREAL - 64 - 694592 - - 100 - - - - fOutDecel - LREAL - 64 - 694656 - - 25 - - - - - PouType - FunctionBlock - - - - - FB_AnalogOutput - 576 - - fReal - LREAL - The real value to send to the output - 64 - 64 - - - ItemType - Input - - - - - fSafeMax - LREAL - The maximum allowed real value for the connected hardware - 64 - 128 - - - ItemType - Input - - - - - fSafeMin - LREAL - The minimum allowed real value for the connected hardware - 64 - 192 - - - ItemType - Input - - - - - iTermBits - UINT - The number of bits correlated with the terminal's max output. This is not necessarily the resolution parameter. - 16 - 256 - - - ItemType - Input - - - - - fTermMax - LREAL - The fReal value correlated with the terminal's max output - 64 - 320 - - - ItemType - Input - - - - - fTermMin - LREAL - The fReal value correlated with the terminal's min output - 64 - 384 - - - ItemType - Input - - - - - iRaw - INT - Connect this output to the terminal - 16 - 448 - - - ItemType - Output - - - TcAddressType - Output - - - - - fScale - LREAL - 64 - 512 - - - - PouType - FunctionBlock - - - - - FB_PPM_Gige - 1344 - - iIlluminatorINT - INT - 16 - 64 - - - TcAddressType - Output - - - - - bGigePower - BOOL - 8 - 80 - - - pytmc - - pv: PWR - field: ZNAM OFF - field: ONAM ON - - - - TcAddressType - Output - - - - - fIlluminatorPercent - LREAL - 64 - 128 - - - pytmc - - pv: CIL:PCT - EGU: % - - - - - - fbGetIllPercent - FB_AnalogInput - 512 - 192 - - - fbSetIllPercent - FB_AnalogOutput - 576 - 704 - - - bGigeInit - BOOL - 8 - 1280 - - false - - - - - PouType - FunctionBlock - - - - - ST_BeamParams_IO - 1760 - - nTran - REAL - Requested pre-optic attenuation - 1 is full transmission - 32 - 0 - - 0 - 1 - 1 - - - - plcAttribute_pytmc - pv: Attenuation - io: i - - - - - nRate - UDINT - Pulse-rate - 32 - 32 - - 120 - - - - plcAttribute_pytmc - pv: Rate - io: i - - - - - neVRange - DWORD - Photon energy ranges - 32 - 64 - - 4294967295 - - - - plcAttribute_pytmc - pv: PhotonEnergy - io: i - - - plcAttribute_displaymode - binary - - - - - neV - REAL - Current Photon energy as calculated by the arbiter - 32 - 96 - - - nBCRange - WORD - 16 - 128 - - 0 - 65535 - 0 - - - - nBeamClass - USINT - 8 - 144 - - 0 - 0 - - - - nMachineMode - USINT - 8 - 152 - - - astAttenuators - ST_PMPS_Attenuator_IO - - 1 - 16 - - Beamline attenuators - 1024 - 160 - - - plcAttribute_pytmc - pv: AuxAttenuator - io: i - - - - - astApertures - ST_PMPS_Aperture_IO - - 1 - 4 - - 384 - 1184 - - - aVetoDevices - BOOL - - 1 - 16 - - Stopper statuses - 128 - 1568 - - - plcAttribute_pytmc - pv: AuxAttenuator - io: i - - - - - xValidToggle - BOOL - Toggle for watchdog - 8 - 1696 - - - xValid - BOOL - Beam parameter set is valid (if readback), or acknowledged (if request) - 8 - 1704 - - - plcAttribute_pytmc - pv: Valid - io: i - - - - - nCohortInt - UDINT - Cohort index. Identifies which cohort this BP set was included in arbitration - 32 - 1728 - - - plcAttribute_pytmc - pv: Attenuation - io: i - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - FB_VetoArbiter - 28352 - I_HigherAuthority - - bVeto - BOOL - Rising edge clears request, hold true to veto continuously, falling edge restores request - 8 - 128 - - false - - - - ItemType - Input - - - - - HigherAuthority - I_HigherAuthority - Typically connected to a higher-level arbiter. - 64 - 192 - - - ItemType - Input - - - - - LowerAuthority - I_LowerAuthority - Lower authority to be vetoed - 64 - 256 - - - ItemType - Input - - - - - FFO - FB_HardwareFFOutput - This should be the FFO upstream of the veto device - 64 - 320 - - - ItemType - InOut - - - - - ffKeepItSecretKeepItSafe - FB_FastFault - 25920 - 384 - - - .i_xAutoReset - true - - - .i_Desc - Holds beam off until request is back in arbitration - - - .i_TypeCode - 200 - - - .i_xVetoable - true - - - - - stStandbyBP - ST_BeamParams - 1760 - 26304 - - - rtVeto - R_TRIG - 128 - 28096 - - - ftVeto - F_TRIG - 128 - 28224 - - - CheckRequest - BOOL - 8 - - nReqID - DWORD - 32 - - - - RequestBP - BOOL - 8 - - nReqID - StateID of state requesting beam parameter set - DWORD - 32 - - - stReqBP - Requested beam params - ST_BeamParams - 1760 - - - - RemoveRequest - BOOL - 8 - - nReqID - StateID to remove - DWORD - 32 - - - - - PouType - FunctionBlock - - - - - FB_SubSysToArbiter_IO - Use on a subsystem PLC to request from the arbiter - Run at the top of your cycle to receive the latest BP - 145024 - I_HigherAuthority - - Reset - BOOL - Fast fault reset - 8 - 128 - - - ItemType - Input - - - - - sName - STRING(80) - 648 - 136 - - SubSysToArbiter - - - - ItemType - Input - - - - - i_bVeto - BOOL - 8 - 784 - - - ItemType - Input - - - - - Arbiter - FB_Arbiter - 64 - 832 - - - ItemType - InOut - - - - - fbFFHWO - FB_HardwareFFOutput - 64 - 896 - - - ItemType - InOut - - - - - i_stCurrentBP - ST_BeamParams_IO - 1760 - 960 - - - TcLinkTo - TIIB[PMPS_PRE]^IO Inputs^CurrentBP - - - TcAddressType - Input - - - - - q_stRequestedBP - ST_BeamParams_IO - 1760 - 2720 - - - TcLinkTo - TIIB[PMPS_PRE]^IO Outputs^RequestedBP - - - TcAddressType - Output - - - - - xTxPDO_toggle - BIT - 1 - 4480 - - - pytmc - pv: TxPDO_toggle - io: i - - - TcLinkTo - TIIB[PMPS_PRE]^SYNC Inputs^TxPDO toggle - - - TcAddressType - Input - - - - - xTxPDO_state - BIT - 1 - 4481 - - - pytmc - pv: TxPDO_state - io: i - - - TcLinkTo - TIIB[PMPS_PRE]^SYNC Inputs^TxPDO state - - - TcAddressType - Input - - - - - ffPMPSIO_Disconnect - FB_FastFault - Fast faults - 25920 - 4544 - - - .i_Desc - Arbiter network interface disconnected or not OP - - - .i_DevName - SubSysToArbiter - - - - - nRequestCohort - UDINT - Request cohort - 32 - 30464 - - 0 - - - - pytmc - pv: RequestCohort - io: i - - - - - nActiveCohort - UDINT - Active cohort, updated by incoming BP from arbiter PLC, in the ElevateRequest arbiter call - 32 - 30496 - - 0 - - - - pytmc - pv: ActiveCohort - io: i - - - - - fbVetoArb - FB_VetoArbiter - 28352 - 30528 - - - fbLog - FB_LogMessage - 86080 - 58880 - - - __FB_SUBSYSTOARBITER_IO__CHECKREQUEST__XFIRSTTIME - BOOL - 8 - 144960 - - , - - - - - __FB_SUBSYSTOARBITER_IO__CHECKREQUEST__NID - DWORD - 32 - 144992 - - - CheckRequest - BOOL - 8 - - nReqID - DWORD - 32 - - - xFirstTime - BOOL - 8 - - - uselocation - __FB_SUBSYSTOARBITER_IO__CHECKREQUEST__XFIRSTTIME - - - - - nId - DWORD - 32 - - - uselocation - __FB_SUBSYSTOARBITER_IO__CHECKREQUEST__NID - - - - - - RequestBP - BOOL - 8 - - nReqID - StateID of state requesting beam parameter set - DWORD - 32 - - - stReqBP - Requested beam params - ST_BeamParams - 1760 - - - - RemoveRequest - BOOL - 8 - - nReqID - StateID to remove - DWORD - 32 - - - - - PouType - FunctionBlock - - - - - FB_Axilon_Cooling_1f1p - 1216 - - fFlow_1_val - LREAL - Mirrors with 1 Cooling Flow Meter and 1 Pressure Meter - 64 - 64 - - - ItemType - Output - - - pytmc - - pv: FWM:1 - field: EGU lpm - field: HIGH 2.3 - field: HIHI 3.0 - field: LOW 1.7 - field: LOLO 1.5 - field: LSV MINOR - field: LLSV MAJOR - field: HSV MINOR - field: HHSV MAJOR - io: i - - - - - - fPress_1_val - LREAL - 64 - 128 - - - ItemType - Output - - - pytmc - - pv: PRSM:1 - field: EGU bar - field: LOW 0.1 - field: LSV MAJOR - io: i - - - - - - fbFlow_1 - FB_AnalogInput - 512 - 192 - - - fbPress_1 - FB_AnalogInput - 512 - 704 - - - - PouType - FunctionBlock - - - - - FB_Axilon_Cooling_2f1p - 1792 - FB_Axilon_Cooling_1f1p - - fFlow_2_val - LREAL - 64 - 1216 - - - ItemType - Output - - - pytmc - - pv: FWM:2 - field: EGU lpm - field: HIGH 2.3 - field: HIHI 3.0 - field: LOW 1.7 - field: LOLO 1.5 - field: LSV MINOR - field: LLSV MAJOR - field: HSV MINOR - field: HHSV MAJOR - io: i - - - - - - fbFlow_2 - FB_AnalogInput - 512 - 1280 - - - - PouType - FunctionBlock - - - - - VERSION - 64 - - uiMajor - UINT - 16 - 0 - - - uiMinor - UINT - 16 - 16 - - - uiServicePack - UINT - 16 - 32 - - - uiPatch - UINT - 16 - 48 - - - - PlcLicenseInfo - 1024 - - LicenseId - GUID - 128 - 0 - - - Instances - UDINT - 32 - 128 - - - LicenseName - STRING(95) - 768 - 256 - - - - TcSystemEventClass - TcSystemEventClass - - InternalError - Internal error. - Error - - - NoRTime - No real-time. - Error - - - AllocationLockedMemoryError - Allocation locked – memory error. - Error - - - InsertMailboxError - Mailbox full – the ADS message could not be sent. Reducing the number of ADS messages per cycle will help. - Error - - - WrongReceiveHMSG - Wrong HMSG. - Error - - - TargetPortNotFound - Target port not found – ADS server is not started or is not reachable. - Error - - - TargetMachineNotFound - Target computer not found – AMS route was not found. - Error - - - UnknownCommandID - Unknown command ID. - Error - - - BadTaskID - Invalid task ID. - Error - - - NoIO - No IO. - Error - - - UnknownAdsCommand - Unknown AMS command. - Error - - - Win32Error - Win32 error. - Error - - - PortNotConnected - Port not connected. - Error - - - InvalidAdsLength - Invalid AMS length. - Error - - - InvalidAdsNetID - Invalid AMS Net ID. - Error - - - LowInstallationLevel - Low installation level – TwinCAT 2 license error. - Error - - - NoDebugAvailable - No debugging available. - Error - - - PortDisabled - Port disabled – TwinCAT system service not started. - Error - - - PortAlreadyConnected - Port already connected. - Error - - - AdsSyncWin32Error - AMS Sync Win32 error. - Error - - - AdsSyncTimeout - AMS Sync Timeout. - Error - - - AdsSyncAmsError - AMS Sync error. - Error - - - AdsSyncNoIndexMap - No index map for AMS Sync available. - Error - - - InvalidAdsPort - Invalid AMS port. - Error - - - NoMemory - No memory. - Error - - - TCPSendError - TCP send error. - Error - - - HostUnreachable - Host unreachable. - Error - - - InvalidAMSFragment - Invalid AMS fragment. - Error - - - AdsSecTLSSendError - TLS send error – secure ADS connection failed. - Error - - - AdsSecAccessDenied - Access denied – secure ADS access denied. - Error - - - - Interner Fehler. - - - Keine Echtzeit. - - - Zuweisung gesperrt - Speicherfehler. - - - Postfach voll – Es konnte die ADS Nachricht nicht versendet werden. Reduzieren der Anzahl der ADS Nachrichten pro Zyklus bringt Abhilfe. - - - Falsches HMSG. - - - Ziel-Port nicht gefunden – ADS Server ist nicht gestartet oder erreichbar. - - - Unbekannte Befehl-ID. - - - Ungültige Task-ID. - - - Kein IO. - - - Unbekannter AMS-Befehl. - - - Win32 Fehler. - - - Port nicht verbunden. - - - Ungültige AMS-Länge. - - - Ungültige AMS Net ID. - - - Installations-Level ist zu niedrig – TwinCAT 2 Lizenzfehler. - - - Kein Debugging verfügbar. - - - Port deaktiviert – TwinCAT System Service nicht gestartet. - - - Port bereits verbunden. - - - AMS Sync Win32 Fehler. - - - AMS Sync Timeout. - - - AMS Sync Fehler. - - - Keine Index-Map für AMS Sync vorhanden. - - - Ungültiger AMS-Port. - - - Kein Speicher. - - - TCP Sendefehler. - - - Host nicht erreichbar. - - - Ungültiges AMS Fragment. - - - TLS Sendefehler – Secure ADS Verbindung fehlgeschlagen. - - - Zugriff Verweigert – Secure ADS Zugriff verweigert. - - - - - - - - - - - ST_TcSystemEventClass - 5760 - - InternalError - TcEventEntry - 192 - 0 - - - .uuidEventClass.Data1 - 3140131225 - - - .uuidEventClass.Data2 - 4142 - - - .uuidEventClass.Data3 - 16922 - - - .uuidEventClass.Data4[0] - 141 - - - .uuidEventClass.Data4[1] - 61 - - - .uuidEventClass.Data4[2] - 176 - - - .uuidEventClass.Data4[3] - 102 - - - .uuidEventClass.Data4[4] - 14 - - - .uuidEventClass.Data4[5] - 7 - - - .uuidEventClass.Data4[6] - 177 - - - .uuidEventClass.Data4[7] - 254 - - - .nEventID - E_TcSystemEventClass.InternalError - - - .eSeverity - TcEventSeverity.Error - - - - - NoRTime - TcEventEntry - 192 - 192 - - - .uuidEventClass.Data1 - 3140131225 - - - .uuidEventClass.Data2 - 4142 - - - .uuidEventClass.Data3 - 16922 - - - .uuidEventClass.Data4[0] - 141 - - - .uuidEventClass.Data4[1] - 61 - - - .uuidEventClass.Data4[2] - 176 - - - .uuidEventClass.Data4[3] - 102 - - - .uuidEventClass.Data4[4] - 14 - - - .uuidEventClass.Data4[5] - 7 - - - .uuidEventClass.Data4[6] - 177 - - - .uuidEventClass.Data4[7] - 254 - - - .nEventID - E_TcSystemEventClass.NoRTime - - - .eSeverity - TcEventSeverity.Error - - - - - AllocationLockedMemoryError - TcEventEntry - 192 - 384 - - - .uuidEventClass.Data1 - 3140131225 - - - .uuidEventClass.Data2 - 4142 - - - .uuidEventClass.Data3 - 16922 - - - .uuidEventClass.Data4[0] - 141 - - - .uuidEventClass.Data4[1] - 61 - - - .uuidEventClass.Data4[2] - 176 - - - .uuidEventClass.Data4[3] - 102 - - - .uuidEventClass.Data4[4] - 14 - - - .uuidEventClass.Data4[5] - 7 - - - .uuidEventClass.Data4[6] - 177 - - - .uuidEventClass.Data4[7] - 254 - - - .nEventID - E_TcSystemEventClass.AllocationLockedMemoryError - - - .eSeverity - TcEventSeverity.Error - - - - - InsertMailboxError - TcEventEntry - 192 - 576 - - - .uuidEventClass.Data1 - 3140131225 - - - .uuidEventClass.Data2 - 4142 - - - .uuidEventClass.Data3 - 16922 - - - .uuidEventClass.Data4[0] - 141 - - - .uuidEventClass.Data4[1] - 61 - - - .uuidEventClass.Data4[2] - 176 - - - .uuidEventClass.Data4[3] - 102 - - - .uuidEventClass.Data4[4] - 14 - - - .uuidEventClass.Data4[5] - 7 - - - .uuidEventClass.Data4[6] - 177 - - - .uuidEventClass.Data4[7] - 254 - - - .nEventID - E_TcSystemEventClass.InsertMailboxError - - - .eSeverity - TcEventSeverity.Error - - - - - WrongReceiveHMSG - TcEventEntry - 192 - 768 - - - .uuidEventClass.Data1 - 3140131225 - - - .uuidEventClass.Data2 - 4142 - - - .uuidEventClass.Data3 - 16922 - - - .uuidEventClass.Data4[0] - 141 - - - .uuidEventClass.Data4[1] - 61 - - - .uuidEventClass.Data4[2] - 176 - - - .uuidEventClass.Data4[3] - 102 - - - .uuidEventClass.Data4[4] - 14 - - - .uuidEventClass.Data4[5] - 7 - - - .uuidEventClass.Data4[6] - 177 - - - .uuidEventClass.Data4[7] - 254 - - - .nEventID - E_TcSystemEventClass.WrongReceiveHMSG - - - .eSeverity - TcEventSeverity.Error - - - - - TargetPortNotFound - TcEventEntry - 192 - 960 - - - .uuidEventClass.Data1 - 3140131225 - - - .uuidEventClass.Data2 - 4142 - - - .uuidEventClass.Data3 - 16922 - - - .uuidEventClass.Data4[0] - 141 - - - .uuidEventClass.Data4[1] - 61 - - - .uuidEventClass.Data4[2] - 176 - - - .uuidEventClass.Data4[3] - 102 - - - .uuidEventClass.Data4[4] - 14 - - - .uuidEventClass.Data4[5] - 7 - - - .uuidEventClass.Data4[6] - 177 - - - .uuidEventClass.Data4[7] - 254 - - - .nEventID - E_TcSystemEventClass.TargetPortNotFound - - - .eSeverity - TcEventSeverity.Error - - - - - TargetMachineNotFound - TcEventEntry - 192 - 1152 - - - .uuidEventClass.Data1 - 3140131225 - - - .uuidEventClass.Data2 - 4142 - - - .uuidEventClass.Data3 - 16922 - - - .uuidEventClass.Data4[0] - 141 - - - .uuidEventClass.Data4[1] - 61 - - - .uuidEventClass.Data4[2] - 176 - - - .uuidEventClass.Data4[3] - 102 - - - .uuidEventClass.Data4[4] - 14 - - - .uuidEventClass.Data4[5] - 7 - - - .uuidEventClass.Data4[6] - 177 - - - .uuidEventClass.Data4[7] - 254 - - - .nEventID - E_TcSystemEventClass.TargetMachineNotFound - - - .eSeverity - TcEventSeverity.Error - - - - - UnknownCommandID - TcEventEntry - 192 - 1344 - - - .uuidEventClass.Data1 - 3140131225 - - - .uuidEventClass.Data2 - 4142 - - - .uuidEventClass.Data3 - 16922 - - - .uuidEventClass.Data4[0] - 141 - - - .uuidEventClass.Data4[1] - 61 - - - .uuidEventClass.Data4[2] - 176 - - - .uuidEventClass.Data4[3] - 102 - - - .uuidEventClass.Data4[4] - 14 - - - .uuidEventClass.Data4[5] - 7 - - - .uuidEventClass.Data4[6] - 177 - - - .uuidEventClass.Data4[7] - 254 - - - .nEventID - E_TcSystemEventClass.UnknownCommandID - - - .eSeverity - TcEventSeverity.Error - - - - - BadTaskID - TcEventEntry - 192 - 1536 - - - .uuidEventClass.Data1 - 3140131225 - - - .uuidEventClass.Data2 - 4142 - - - .uuidEventClass.Data3 - 16922 - - - .uuidEventClass.Data4[0] - 141 - - - .uuidEventClass.Data4[1] - 61 - - - .uuidEventClass.Data4[2] - 176 - - - .uuidEventClass.Data4[3] - 102 - - - .uuidEventClass.Data4[4] - 14 - - - .uuidEventClass.Data4[5] - 7 - - - .uuidEventClass.Data4[6] - 177 - - - .uuidEventClass.Data4[7] - 254 - - - .nEventID - E_TcSystemEventClass.BadTaskID - - - .eSeverity - TcEventSeverity.Error - - - - - NoIO - TcEventEntry - 192 - 1728 - - - .uuidEventClass.Data1 - 3140131225 - - - .uuidEventClass.Data2 - 4142 - - - .uuidEventClass.Data3 - 16922 - - - .uuidEventClass.Data4[0] - 141 - - - .uuidEventClass.Data4[1] - 61 - - - .uuidEventClass.Data4[2] - 176 - - - .uuidEventClass.Data4[3] - 102 - - - .uuidEventClass.Data4[4] - 14 - - - .uuidEventClass.Data4[5] - 7 - - - .uuidEventClass.Data4[6] - 177 - - - .uuidEventClass.Data4[7] - 254 - - - .nEventID - E_TcSystemEventClass.NoIO - - - .eSeverity - TcEventSeverity.Error - - - - - UnknownAdsCommand - TcEventEntry - 192 - 1920 - - - .uuidEventClass.Data1 - 3140131225 - - - .uuidEventClass.Data2 - 4142 - - - .uuidEventClass.Data3 - 16922 - - - .uuidEventClass.Data4[0] - 141 - - - .uuidEventClass.Data4[1] - 61 - - - .uuidEventClass.Data4[2] - 176 - - - .uuidEventClass.Data4[3] - 102 - - - .uuidEventClass.Data4[4] - 14 - - - .uuidEventClass.Data4[5] - 7 - - - .uuidEventClass.Data4[6] - 177 - - - .uuidEventClass.Data4[7] - 254 - - - .nEventID - E_TcSystemEventClass.UnknownAdsCommand - - - .eSeverity - TcEventSeverity.Error - - - - - Win32Error - TcEventEntry - 192 - 2112 - - - .uuidEventClass.Data1 - 3140131225 - - - .uuidEventClass.Data2 - 4142 - - - .uuidEventClass.Data3 - 16922 - - - .uuidEventClass.Data4[0] - 141 - - - .uuidEventClass.Data4[1] - 61 - - - .uuidEventClass.Data4[2] - 176 - - - .uuidEventClass.Data4[3] - 102 - - - .uuidEventClass.Data4[4] - 14 - - - .uuidEventClass.Data4[5] - 7 - - - .uuidEventClass.Data4[6] - 177 - - - .uuidEventClass.Data4[7] - 254 - - - .nEventID - E_TcSystemEventClass.Win32Error - - - .eSeverity - TcEventSeverity.Error - - - - - PortNotConnected - TcEventEntry - 192 - 2304 - - - .uuidEventClass.Data1 - 3140131225 - - - .uuidEventClass.Data2 - 4142 - - - .uuidEventClass.Data3 - 16922 - - - .uuidEventClass.Data4[0] - 141 - - - .uuidEventClass.Data4[1] - 61 - - - .uuidEventClass.Data4[2] - 176 - - - .uuidEventClass.Data4[3] - 102 - - - .uuidEventClass.Data4[4] - 14 - - - .uuidEventClass.Data4[5] - 7 - - - .uuidEventClass.Data4[6] - 177 - - - .uuidEventClass.Data4[7] - 254 - - - .nEventID - E_TcSystemEventClass.PortNotConnected - - - .eSeverity - TcEventSeverity.Error - - - - - InvalidAdsLength - TcEventEntry - 192 - 2496 - - - .uuidEventClass.Data1 - 3140131225 - - - .uuidEventClass.Data2 - 4142 - - - .uuidEventClass.Data3 - 16922 - - - .uuidEventClass.Data4[0] - 141 - - - .uuidEventClass.Data4[1] - 61 - - - .uuidEventClass.Data4[2] - 176 - - - .uuidEventClass.Data4[3] - 102 - - - .uuidEventClass.Data4[4] - 14 - - - .uuidEventClass.Data4[5] - 7 - - - .uuidEventClass.Data4[6] - 177 - - - .uuidEventClass.Data4[7] - 254 - - - .nEventID - E_TcSystemEventClass.InvalidAdsLength - - - .eSeverity - TcEventSeverity.Error - - - - - InvalidAdsNetID - TcEventEntry - 192 - 2688 - - - .uuidEventClass.Data1 - 3140131225 - - - .uuidEventClass.Data2 - 4142 - - - .uuidEventClass.Data3 - 16922 - - - .uuidEventClass.Data4[0] - 141 - - - .uuidEventClass.Data4[1] - 61 - - - .uuidEventClass.Data4[2] - 176 - - - .uuidEventClass.Data4[3] - 102 - - - .uuidEventClass.Data4[4] - 14 - - - .uuidEventClass.Data4[5] - 7 - - - .uuidEventClass.Data4[6] - 177 - - - .uuidEventClass.Data4[7] - 254 - - - .nEventID - E_TcSystemEventClass.InvalidAdsNetID - - - .eSeverity - TcEventSeverity.Error - - - - - LowInstallationLevel - TcEventEntry - 192 - 2880 - - - .uuidEventClass.Data1 - 3140131225 - - - .uuidEventClass.Data2 - 4142 - - - .uuidEventClass.Data3 - 16922 - - - .uuidEventClass.Data4[0] - 141 - - - .uuidEventClass.Data4[1] - 61 - - - .uuidEventClass.Data4[2] - 176 - - - .uuidEventClass.Data4[3] - 102 - - - .uuidEventClass.Data4[4] - 14 - - - .uuidEventClass.Data4[5] - 7 - - - .uuidEventClass.Data4[6] - 177 - - - .uuidEventClass.Data4[7] - 254 - - - .nEventID - E_TcSystemEventClass.LowInstallationLevel - - - .eSeverity - TcEventSeverity.Error - - - - - NoDebugAvailable - TcEventEntry - 192 - 3072 - - - .uuidEventClass.Data1 - 3140131225 - - - .uuidEventClass.Data2 - 4142 - - - .uuidEventClass.Data3 - 16922 - - - .uuidEventClass.Data4[0] - 141 - - - .uuidEventClass.Data4[1] - 61 - - - .uuidEventClass.Data4[2] - 176 - - - .uuidEventClass.Data4[3] - 102 - - - .uuidEventClass.Data4[4] - 14 - - - .uuidEventClass.Data4[5] - 7 - - - .uuidEventClass.Data4[6] - 177 - - - .uuidEventClass.Data4[7] - 254 - - - .nEventID - E_TcSystemEventClass.NoDebugAvailable - - - .eSeverity - TcEventSeverity.Error - - - - - PortDisabled - TcEventEntry - 192 - 3264 - - - .uuidEventClass.Data1 - 3140131225 - - - .uuidEventClass.Data2 - 4142 - - - .uuidEventClass.Data3 - 16922 - - - .uuidEventClass.Data4[0] - 141 - - - .uuidEventClass.Data4[1] - 61 - - - .uuidEventClass.Data4[2] - 176 - - - .uuidEventClass.Data4[3] - 102 - - - .uuidEventClass.Data4[4] - 14 - - - .uuidEventClass.Data4[5] - 7 - - - .uuidEventClass.Data4[6] - 177 - - - .uuidEventClass.Data4[7] - 254 - - - .nEventID - E_TcSystemEventClass.PortDisabled - - - .eSeverity - TcEventSeverity.Error - - - - - PortAlreadyConnected - TcEventEntry - 192 - 3456 - - - .uuidEventClass.Data1 - 3140131225 - - - .uuidEventClass.Data2 - 4142 - - - .uuidEventClass.Data3 - 16922 - - - .uuidEventClass.Data4[0] - 141 - - - .uuidEventClass.Data4[1] - 61 - - - .uuidEventClass.Data4[2] - 176 - - - .uuidEventClass.Data4[3] - 102 - - - .uuidEventClass.Data4[4] - 14 - - - .uuidEventClass.Data4[5] - 7 - - - .uuidEventClass.Data4[6] - 177 - - - .uuidEventClass.Data4[7] - 254 - - - .nEventID - E_TcSystemEventClass.PortAlreadyConnected - - - .eSeverity - TcEventSeverity.Error - - - - - AdsSyncWin32Error - TcEventEntry - 192 - 3648 - - - .uuidEventClass.Data1 - 3140131225 - - - .uuidEventClass.Data2 - 4142 - - - .uuidEventClass.Data3 - 16922 - - - .uuidEventClass.Data4[0] - 141 - - - .uuidEventClass.Data4[1] - 61 - - - .uuidEventClass.Data4[2] - 176 - - - .uuidEventClass.Data4[3] - 102 - - - .uuidEventClass.Data4[4] - 14 - - - .uuidEventClass.Data4[5] - 7 - - - .uuidEventClass.Data4[6] - 177 - - - .uuidEventClass.Data4[7] - 254 - - - .nEventID - E_TcSystemEventClass.AdsSyncWin32Error - - - .eSeverity - TcEventSeverity.Error - - - - - AdsSyncTimeout - TcEventEntry - 192 - 3840 - - - .uuidEventClass.Data1 - 3140131225 - - - .uuidEventClass.Data2 - 4142 - - - .uuidEventClass.Data3 - 16922 - - - .uuidEventClass.Data4[0] - 141 - - - .uuidEventClass.Data4[1] - 61 - - - .uuidEventClass.Data4[2] - 176 - - - .uuidEventClass.Data4[3] - 102 - - - .uuidEventClass.Data4[4] - 14 - - - .uuidEventClass.Data4[5] - 7 - - - .uuidEventClass.Data4[6] - 177 - - - .uuidEventClass.Data4[7] - 254 - - - .nEventID - E_TcSystemEventClass.AdsSyncTimeout - - - .eSeverity - TcEventSeverity.Error - - - - - AdsSyncAmsError - TcEventEntry - 192 - 4032 - - - .uuidEventClass.Data1 - 3140131225 - - - .uuidEventClass.Data2 - 4142 - - - .uuidEventClass.Data3 - 16922 - - - .uuidEventClass.Data4[0] - 141 - - - .uuidEventClass.Data4[1] - 61 - - - .uuidEventClass.Data4[2] - 176 - - - .uuidEventClass.Data4[3] - 102 - - - .uuidEventClass.Data4[4] - 14 - - - .uuidEventClass.Data4[5] - 7 - - - .uuidEventClass.Data4[6] - 177 - - - .uuidEventClass.Data4[7] - 254 - - - .nEventID - E_TcSystemEventClass.AdsSyncAmsError - - - .eSeverity - TcEventSeverity.Error - - - - - AdsSyncNoIndexMap - TcEventEntry - 192 - 4224 - - - .uuidEventClass.Data1 - 3140131225 - - - .uuidEventClass.Data2 - 4142 - - - .uuidEventClass.Data3 - 16922 - - - .uuidEventClass.Data4[0] - 141 - - - .uuidEventClass.Data4[1] - 61 - - - .uuidEventClass.Data4[2] - 176 - - - .uuidEventClass.Data4[3] - 102 - - - .uuidEventClass.Data4[4] - 14 - - - .uuidEventClass.Data4[5] - 7 - - - .uuidEventClass.Data4[6] - 177 - - - .uuidEventClass.Data4[7] - 254 - - - .nEventID - E_TcSystemEventClass.AdsSyncNoIndexMap - - - .eSeverity - TcEventSeverity.Error - - - - - InvalidAdsPort - TcEventEntry - 192 - 4416 - - - .uuidEventClass.Data1 - 3140131225 - - - .uuidEventClass.Data2 - 4142 - - - .uuidEventClass.Data3 - 16922 - - - .uuidEventClass.Data4[0] - 141 - - - .uuidEventClass.Data4[1] - 61 - - - .uuidEventClass.Data4[2] - 176 - - - .uuidEventClass.Data4[3] - 102 - - - .uuidEventClass.Data4[4] - 14 - - - .uuidEventClass.Data4[5] - 7 - - - .uuidEventClass.Data4[6] - 177 - - - .uuidEventClass.Data4[7] - 254 - - - .nEventID - E_TcSystemEventClass.InvalidAdsPort - - - .eSeverity - TcEventSeverity.Error - - - - - NoMemory - TcEventEntry - 192 - 4608 - - - .uuidEventClass.Data1 - 3140131225 - - - .uuidEventClass.Data2 - 4142 - - - .uuidEventClass.Data3 - 16922 - - - .uuidEventClass.Data4[0] - 141 - - - .uuidEventClass.Data4[1] - 61 - - - .uuidEventClass.Data4[2] - 176 - - - .uuidEventClass.Data4[3] - 102 - - - .uuidEventClass.Data4[4] - 14 - - - .uuidEventClass.Data4[5] - 7 - - - .uuidEventClass.Data4[6] - 177 - - - .uuidEventClass.Data4[7] - 254 - - - .nEventID - E_TcSystemEventClass.NoMemory - - - .eSeverity - TcEventSeverity.Error - - - - - TCPSendError - TcEventEntry - 192 - 4800 - - - .uuidEventClass.Data1 - 3140131225 - - - .uuidEventClass.Data2 - 4142 - - - .uuidEventClass.Data3 - 16922 - - - .uuidEventClass.Data4[0] - 141 - - - .uuidEventClass.Data4[1] - 61 - - - .uuidEventClass.Data4[2] - 176 - - - .uuidEventClass.Data4[3] - 102 - - - .uuidEventClass.Data4[4] - 14 - - - .uuidEventClass.Data4[5] - 7 - - - .uuidEventClass.Data4[6] - 177 - - - .uuidEventClass.Data4[7] - 254 - - - .nEventID - E_TcSystemEventClass.TCPSendError - - - .eSeverity - TcEventSeverity.Error - - - - - HostUnreachable - TcEventEntry - 192 - 4992 - - - .uuidEventClass.Data1 - 3140131225 - - - .uuidEventClass.Data2 - 4142 - - - .uuidEventClass.Data3 - 16922 - - - .uuidEventClass.Data4[0] - 141 - - - .uuidEventClass.Data4[1] - 61 - - - .uuidEventClass.Data4[2] - 176 - - - .uuidEventClass.Data4[3] - 102 - - - .uuidEventClass.Data4[4] - 14 - - - .uuidEventClass.Data4[5] - 7 - - - .uuidEventClass.Data4[6] - 177 - - - .uuidEventClass.Data4[7] - 254 - - - .nEventID - E_TcSystemEventClass.HostUnreachable - - - .eSeverity - TcEventSeverity.Error - - - - - InvalidAMSFragment - TcEventEntry - 192 - 5184 - - - .uuidEventClass.Data1 - 3140131225 - - - .uuidEventClass.Data2 - 4142 - - - .uuidEventClass.Data3 - 16922 - - - .uuidEventClass.Data4[0] - 141 - - - .uuidEventClass.Data4[1] - 61 - - - .uuidEventClass.Data4[2] - 176 - - - .uuidEventClass.Data4[3] - 102 - - - .uuidEventClass.Data4[4] - 14 - - - .uuidEventClass.Data4[5] - 7 - - - .uuidEventClass.Data4[6] - 177 - - - .uuidEventClass.Data4[7] - 254 - - - .nEventID - E_TcSystemEventClass.InvalidAMSFragment - - - .eSeverity - TcEventSeverity.Error - - - - - AdsSecTLSSendError - TcEventEntry - 192 - 5376 - - - .uuidEventClass.Data1 - 3140131225 - - - .uuidEventClass.Data2 - 4142 - - - .uuidEventClass.Data3 - 16922 - - - .uuidEventClass.Data4[0] - 141 - - - .uuidEventClass.Data4[1] - 61 - - - .uuidEventClass.Data4[2] - 176 - - - .uuidEventClass.Data4[3] - 102 - - - .uuidEventClass.Data4[4] - 14 - - - .uuidEventClass.Data4[5] - 7 - - - .uuidEventClass.Data4[6] - 177 - - - .uuidEventClass.Data4[7] - 254 - - - .nEventID - E_TcSystemEventClass.AdsSecTLSSendError - - - .eSeverity - TcEventSeverity.Error - - - - - AdsSecAccessDenied - TcEventEntry - 192 - 5568 - - - .uuidEventClass.Data1 - 3140131225 - - - .uuidEventClass.Data2 - 4142 - - - .uuidEventClass.Data3 - 16922 - - - .uuidEventClass.Data4[0] - 141 - - - .uuidEventClass.Data4[1] - 61 - - - .uuidEventClass.Data4[2] - 176 - - - .uuidEventClass.Data4[3] - 102 - - - .uuidEventClass.Data4[4] - 14 - - - .uuidEventClass.Data4[5] - 7 - - - .uuidEventClass.Data4[6] - 177 - - - .uuidEventClass.Data4[7] - 254 - - - .nEventID - E_TcSystemEventClass.AdsSecAccessDenied - - - .eSeverity - TcEventSeverity.Error - - - - - - TcTypeSystem - - - signature_flag - 33554432 - - - checksuperglobal - - - show - - - no-analysis - - - TcEventClass - TcSystemEventClass - - - - - TcGeneralAdsEventClass - TcGeneralAdsEventClass - - GeneralDeviceError - General device error. - Error - - - ServiceNotSupported - Service is not supported by the server. - Error - - - InvalidIndexGroup - Invalid index group. - Error - - - InvalidIndexOffset - Invalid index offset. - Error - - - InvalidAccess - Reading or writing is not permitted. - Error - - - InvalidSize - Parameter size is not correct. - Error - - - InvalidData - Invalid data value(s). - Error - - - NotReady - Device is not ready to operate. - Error - - - Busy - Device is busy. - Error - - - InvalidContext - Invalid operating system context. This can result from use of ADS function blocks in different tasks. - Error - - - NoMemory - Insufficient memory. - Error - - - InvalidParam - Invalid parameter value(s). - Error - - - NotFound - Not found (files, ...). - Error - - - Syntax - Syntax error in file or command. - Error - - - Incompatible - Objects do not match. - Error - - - AlreadyExists - Object already exists. - Error - - - SymbolNotFound - Symbol was not found. - Error - - - SymbolVersionInvalid - Invalid symbol version. This can occur due to an online change. Create a new handle. - Error - - - InvalidState - Device (server) is in invalid state. - Error - - - TransModeNotSupported - AdsTransMode is not supported. - Error - - - NotificationHandleInvalid - Notification handle is invalid. - Error - - - ClientUnknown - Notification client is not registered. - Error - - - NoMoreHandles - No further notification handles. - Error - - - InvalidWatchSize - Notification size too large. - Error - - - NotInit - Device is not initialized. - Error - - - DeviceTimeout - Device has a timeout. - Error - - - NoInterface - Interface query failed. - Error - - - InvalidInterface - Wrong interface is requested. - Error - - - InvalidClassID - Class ID is invalid. - Error - - - InvalidObjectID - Object ID is invalid. - Error - - - Pending - Request pending. - Error - - - Aborted - Request is aborted. - Error - - - SignalWarning - Signal warning. - Error - - - InvalidArrayIndex - Invalid array index. - Error - - - SymbolNotActive - Symbol is not active. - Error - - - AccessDenied - Access denied. - Error - - - LicenseMissing - Missing license. - Error - - - LicenseExpired - License is expired. - Error - - - LicenseExceeded - License is exceeded. - Error - - - LicenseInvalid - License is invalid. - Error - - - LicenseSystemID - License problem: System ID is invalid. - Error - - - LicenseNoTimeLimit - License not limited in time. - Error - - - LicenseFutureIssue - License problem: Time in the future. - Error - - - LicenseTimeTooLong - License time period is too long. - Error - - - Exception - Exception at system startup. - Error - - - LicenseDuplicated - License file is read twice. - Error - - - SignatureInvalid - Invalid signature. - Error - - - CertificateInvalid - Invalid certificate. - Error - - - LicenseOEMNotFound - Public key not known from OEM. - Error - - - LicenseRestricted - License not valid for this system ID. - Error - - - LicenseDemoDenied - Demo license prohibited. - Error - - - InvalidFunctionID - Invalid function ID. - Error - - - OutOfRange - Outside the valid range. - Error - - - InvalidAlignment - Invalid alignment. - Error - - - LicensePlatform - Invalid platform level. - Error - - - ForwardPassiveLevel - Context – forward to passive level. - Error - - - ForwardDispatchLevel - Context – forward to dispatch level. - Error - - - ForwardRealTime - Context – forward to real-time. - Error - - - InvalidServiceParam - Service contains an invalid parameter. - Error - - - ListEmpty - Polling list is empty. - Error - - - VariableConAlreadyInUse - Variable connection is already in use. - Error - - - InvokeIDAlreadyInUse - Invoke ID is already in use. - Error - - - TimeoutElapsed - Timeout has occurred – the remote terminal is not responding in the specified ADS timeout. - Error - - - Win32Error - Error in Win32 subsystem - Error - - - TimeoutInvalid - Invalid client timeout value. - Error - - - PortNotOpen - Port is not open. - Error - - - NoAMSAddr - No AMS address. - Error - - - SyncInternalError - Internal error in Ads sync. - Error - - - AddHash - Hash table overflow. - Error - - - RemoveHash - Key not found in hash table. - Error - - - NoMoreSymbols - No symbols in the cache. - Error - - - SyncResInvalid - Invalid response received - Error - - - SyncPortLocked - Sync port is locked. - Error - - - - Allgemeiner Gerätefehler. - - - Service wird vom Server nicht unterstützt. - - - Ungültige Index-Gruppe. - - - Ungültiger Index-Offset. - - - Lesen oder Schreiben ist nicht gestattet. - - - Parametergröße ist nicht korrekt. - - - Ungültige Daten-Werte. - - - Gerät ist nicht betriebsbereit. - - - Gerät ist beschäftigt. - - - Ungültiger Kontext vom Betriebssystem. Kann durch Verwendung von ADS Bausteinen in unterschiedlichen Tasks auftreten. - - - Nicht genügend Speicher. - - - Ungültige Parameter-Werte. - - - Nicht gefunden (Dateien,...). - - - Syntax-Fehler in Datei oder Befehl. - - - Objekte stimmen nicht überein. - - - Objekt ist bereits vorhanden. - - - Symbol nicht gefunden. - - - Symbol-Version ist ungültig. Kann durch einen Online-Change auftreten. Erzeuge einen neuen Handle. - - - Gerät (Server) ist im ungültigen Zustand. - - - AdsTransMode wird nicht unterstützt. - - - Notification Handle ist ungültig. - - - Notification-Client nicht registriert. - - - Keine weiteren Notification Handles. - - - Größe der Notification zu groß. - - - Gerät ist nicht initialisiert. - - - Gerät hat einen Timeout. - - - Interface Abfrage fehlgeschlagen. - - - Falsches Interface angefordert. - - - Class-ID ist ungültig. - - - Object-ID ist ungültig. - - - Anforderung steht aus. - - - Anforderung wird abgebrochen. - - - Signal-Warnung. - - - Ungültiger Array-Index. - - - Symbol ist nicht aktiv. - - - Zugriff verweigert. - - - Fehlende Lizenz. - - - Lizenz abgelaufen. - - - Lizenz überschritten. - - - Lizenz ungültig. - - - Lizenzproblem: System-ID ist ungültig. - - - Lizenz nicht zeitlich begrenzt. - - - Lizenzproblem: Zeitpunkt in der Zukunft. - - - Lizenz-Zeitraum ist zu lang. - - - Exception beim Systemstart. - - - Lizenz-Datei zweimal gelesen. - - - Ungültige Signatur. - - - Zertifikat ungültig. - - - Public Key vom OEM nicht bekannt. - - - Lizenz nicht gültig für diese System-ID. - - - Demo-Lizenz untersagt. - - - Funktions-ID ungültig. - - - Außerhalb des gültigen Bereiches. - - - Ungültiges Alignment. - - - Ungültiger Plattform Level. - - - Kontext – Weiterleitung zum Passiv-Level. - - - Kontext – Weiterleitung zum Dispatch-Level. - - - Kontext – Weiterleitung zur Echtzeit. - - - Dienst enthält einen ungültigen Parameter. - - - Polling-Liste ist leer. - - - Variablen-Verbindung bereits im Einsatz. - - - Die Invoke-ID ist bereits in Benutzung. - - - Timeout ist aufgetreten – Die Gegenstelle antwortet nicht im vorgegebenen ADS Timeout. - - - Fehler im Win32 Subsystem. - - - Ungültiger Client Timeout-Wert. - - - Port nicht geöffnet. - - - Keine AMS Adresse. - - - Interner Fehler in Ads-Sync. - - - Überlauf der Hash-Tabelle. - - - Schlüssel in der Hash-Tabelle nicht gefunden. - - - Keine Symbole im Cache. - - - Ungültige Antwort erhalten. - - - Sync Port ist verriegelt. - - + + MB_VoltageList_Name + 154248409645252609 + name of voltage measurement, STRING, read-only + + + MB_VoltageList_Location + 154248409645318145 + location of voltage measurement (E_IPCDiag_MBVoltageLocation), INT, read-only + + + MB_VoltageList_Value + 154248409645383681 + value of voltage measurement (mV), INT, read-only + + + MB_VoltageList_NominalValue + 154248409645449217 + nominal value of voltage measurement (mV), INT, read-only + + + Memory_ProgramMemoryAllocated + 11540527733342214 + program memory (RAM) allocated (bytes), ULINT, read-only + + + Memory_ProgramMemoryAvailable + 11540527733342215 + program memory (RAM) available (bytes), ULINT, read-only + + + Misc_StartupNumLockState + 27304174401159169 + Numlock state at system startup, BOOL, read/write + + + Misc_AutoLogonUser + 12386000635494405 + auto logon user at system startup, STRING, read-only + + + NIC_ModuleCnt + 81909228961267712 + number of NIC modules, USINT, read-only + + + NIC_Name + 12384909713735683 + NIC adapter name, STRING, read-only + + + NIC_MACAddress + 7881310086430721 + MAC address, STRING, constant + + + NIC_IPv4Address + 30399308223283202 + IPv4 Address, STRING, read/write + + + NIC_IPv4SubnetMask + 30399308223283203 + IPv4 Subnet Mask, STRING, read/write + + + NIC_DHCP + 27303083479465988 + DHCP, BOOL, read/write + + + NIC_IPv4DefGateway + 30399308223283205 + IPv4 Default Gateway, STRING, read/write + + + NIC_IPv4DNSServers + 30399308223283206 + IPv4 DNS servers (comma separated), STRING, read/write + + + NIC_IPv4DNS + 30399308223283206 + IPv4 DNS servers (comma separated), STRING, read/write + + + NIC_VirtualDeviceName + 30399308223283207 + virtual device name, STRING, read/write + + + NIC_IPv4DNSServersActive + 12384909713801224 + IPv4 DNS active servers (only under TC/BSD and TC/RTOS), STRING, read-only + + + OS_Name + 12385004203016195 + name of OS (operating system), STRING, read-only + + + OS_VersionMajor + 28992027829010433 + OS major version, UDINT, read-only + + + OS_VersionMinor + 28992027829010434 + OS minor version, UDINT, read-only + + + OS_VersionBuild + 28992027829010435 + OS build version, UDINT, read-only + + + OS_CSDVersion + 30399402712563716 + OS CSD version, STRING, read-only + + + PhyDrv_ModuleCnt + 81909353515319296 + number of physical drive modules, USINT, read-only + + + PhyDrv_SerialNumber + 12385034267787267 + serial number of physical drive, STRING, read-only + + + PhyDrv_Index + 10977659384299521 + index, UDINT, read-only + + + PhyDrv_Caption + 12385034267852802 + caption, STRING, read-only + + + PhyDrv_LogicalPartitions + 12385034267852803 + logical partitions (comma separated list), STRING, read-only + + + PhyDrv_PartitionCnt + 10977659384299524 + partition count, UDINT, read-only + + + PhyDrv_TotalCylinders + 11540609337720837 + total cylinders, ULINT, read-only + + + PhyDrv_TotalHeads + 10977659384299526 + total heads, UDINT, read-only + + + PhyDrv_TotalSectors + 11540609337720839 + total sectors, ULINT, read-only + + + PhyDrv_TotalTracks + 11540609337720840 + total tracks, ULINT, read-only + + + PhyDrv_NoSMARTAttributes + 10414709430943744 + number of SMART attributes (SMARTAttrList length), UINT, read-only + + + PhyDrv_SMARTAttrList_ID + 153966947553378305 + attribute ID, USINT, read-only + + + PhyDrv_SMARTAttrList_StatusFlag + 154529897506865153 + status flag, UINT, read-only + + + PhyDrv_SMARTAttrList_CurrentValue + 153966947553509377 + current value, USINT, read-only + + + PhyDrv_SMARTAttrList_WorstValue + 153966947553574913 + worst value, USINT, read-only + + + PhyDrv_SMARTAttrList_RawData + 156500222344036353 + raw data (6 bytes), STRING, read-only + + + PhyDrv_SMARTAttrList_Threshold + 153966947553705985 + threshold, USINT, read-only + + + MassStg_NoDrives + 10414713725845504 + number of mass storage drives (DriveList length), UINT, read-only + + + MassStg_DriveList_SerialNumber + 156500226638675969 + serial number of mass storage drive, STRING, read-only + + + MassStg_DriveList_SataPort + 153966951848345601 + SATA-Port of mass storage drive, USINT, read-only + + + MassStg_DriveList_PartitionLetter + 156500226638807041 + partition letter(s) of mass storage drive, STRING, read-only + + + MassStg_DriveList_DriveName + 156500226638872577 + drive name, STRING, read-only + + + MassStg_DriveList_DriveType + 153966951848542209 + drive type (0=Unknown,1=HD,2=SSD,3=CFast,4=CF), USINT, read-only + + + MassStg_DriveList_EraseCntAverage + 155655801708871681 + erase count average (flashdrive SMART), ULINT, read-only + + + MassStg_DriveList_EraseCntSpec + 155655801708937217 + erase count specified (flashdrive SMART), ULINT, read-only + + + MassStg_DriveList_EraseCyclesLeft + 154248426825449473 + erase cycles left in percent (flashdrive SMART), INT, read-only + + + MassStg_DriveList_SpareBlocksRemain + 155655801709068289 + spare blocks remaining (flashdrive SMART), ULINT, read-only + + + MassStg_DriveList_SpareBlocksInit + 155655801709133825 + spare blocks initial (flashdrive SMART), ULINT, read-only + + + MassStg_DriveList_SpareBlocksLeft + 154248426825646081 + spare blocks left in percent (flashdrive SMART), INT, read-only + + + MassStg_DriveList_ReallocatedSectors + 155655801709264897 + reallocated sectors (harddisk SMART), ULINT, read-only + + + MassStg_DriveList_SpinRetries + 155655801709330433 + spin retries (harddisk SMART), ULINT, read-only + + + MassStg_DriveList_PendingSectors + 155655801709395969 + pending sectors (harddisk SMART), ULINT, read-only + + + MassStg_DriveList_UltraDmaCrcErrors + 155655801709461505 + Ultra DMA CRC Errors (harddisk SMART), ULINT, read-only + + + Software_MDPVersion + 9235756980928249856 + version of the MDP.exe service, STRING, read-only + + + Software_NoSoftwares + 10414619236564992 + number of software components (SWList length), UINT, read-only + + + Software_SWList_Name + 156500132149395457 + name of software, STRING, read-only + + + Software_SWList_Company + 156500132149460993 + company of software, STRING, read-only + + + Software_SWList_Date + 156500132149526529 + date of software, STRING, read-only + + + Software_SWList_Version + 156500132149592065 + version of software, STRING, read-only + + + TC_Version + 9235756972338315264 + TwinCAT version, STRING, read-only + + + TC_VersionMajor + 10414610646630401 + TwinCAT major version, UINT, read-only + + + TC_VersionMinor + 10414610646630402 + TwinCAT minor version, UINT, read-only + + + TC_VersionBuild + 10414610646630403 + TwinCAT build version, UINT, read-only + + + TC_VersionRevision + 10414610646630412 + TwinCAT revision version, UINT, read-only + + + TC_AmsNetID + 30399333993086980 + Ams Net ID, STRING, read/write (reboot required) + + + TC_Status + 10414610646630406 + TwinCAT status, UINT, read-only + + + TC_RunAsDev + 28429009156112391 + run as device (only under WinCE), UINT, read/write + + + TC_ShowTargetVisu + 28429009156112392 + show target visu (only under WinCE), UINT, read/write + + + TC_LogFileSize + 28991959109533705 + log file size (only under WinCE), UDINT, read/write + + + TC_LogFilePath + 30399333993086986 + log file path (only under WinCE), STRING, read/write + + + TC_SystemID + 12384935483605003 + TwinCAT system ID, STRING, read-only + + + TC_NoRoutes + 10414610646695936 + number of TwinCAT ads routes (RouteList length), UINT, read-only + + + TC_RouteList_Name + 156500123559526401 + name of TC ads route, STRING, read-only + + + TC_RouteList_Address + 156500123559591937 + address of TC ads route, STRING, read-only + + + TC_RouteList_AmsAddress + 156500123559657473 + address of TC ads route, STRING, read-only + + + TC_RouteList_Flags + 155092748676169729 + flags of TC ads route, UDINT, read-only + + + TC_RouteList_Timeout + 155092748676235265 + timeout of TC ads route, UDINT, read-only + + + TC_RouteList_Transport + 154529798722879489 + transport type of TC ads route (Tc2_Utilities.E_RouteTransportType), UINT, read-only + + + TC_Logfile + 12384935484063744 + TwinCAT Logfile, STRING, read-only + + + TC_RouterMemoryMaximum + 11540510553997313 + maximum router memory size (bytes), ULINT, read-only + + + TC_RouterMemoryAvailable + 11540510553997314 + available router memory size (bytes), ULINT, read-only + + + TC_RouterRegisteredPorts + 10977560600576003 + registered ports, UDINT, read-only + + + TC_RouterRegisteredDrivers + 10977560600576004 + registered drivers, UDINT, read-only + + + TC_RouterRegisteredTransports + 10977560600576005 + registered transports, UDINT, read-only + + + TC_RouterDebugWindow + 9288710740312070 + debug window (TRUE if ADS logger is active), BOOL, read-only + + + TC_RouterMailboxSize + 10977560600576007 + router mailbox size, UDINT, read-only + + + TC_RouterMailboxUsedEntries + 10977560600576008 + used entries in router mailbox, UDINT, read-only + + + TC_HeapMemoryMaximum + 11540510554062849 + maximum memory for TcOS (bytes) (only under TC/RTOS), ULINT, read-only + + + TC_HeapMemoryAvailable + 11540510554062850 + available memory in TcOS (bytes) (only under TC/RTOS), ULINT, read-only + + + Time_SNTPServer + 30399312518250497 + SNTP server name or IP (empty string if no sync), STRING, read/write + + + Time_SNTPRefresh + 28991937634697218 + SNTP refresh in seconds, UDINT, read/write + + + Time_SecondsSince1970UTC + 28991937634697219 + seconds since midnight January 1. 1970 as UTC, UDINT, read/write + + + Time_DateAndTime + 30399312518250500 + date and time (ISO 8601) as local time, STRING, read/write + + + Time_OffsetLocalTimeToUTC + 28710462657986566 + offset in seconds of local time to UTC, DINT, read/write + + + Time_SecondsSince1970 + 28991937634697223 + seconds since midnight January 1. 1970 as local time, UDINT, read/write + + + UPS_Name + 12385029972819971 + UPS name, STRING, read-only + + + UPS_Model + 12385029972885505 + UPS model, STRING, read-only + + + UPS_Vendor + 12385029972885506 + vendor name, STRING, read-only + + + UPS_Version + 9851755182489603 + version, USINT, read-only + + + UPS_Revision + 9851755182489604 + revision, USINT, read-only + + + UPS_Build + 10414705135910917 + build, UINT, read-only + + + UPS_SerialNumber + 12385029972885510 + serial number, STRING, read-only + + + UPS_PowerStatus + 9851755182489607 + power status (0=Unknown,1=Online,2=OnBatteries), USINT, read-only + + + UPS_ComStatus + 9851755182489608 + communication status (0=Unknown,1=Ok,2=Error), USINT, read-only + + + UPS_BatteryStatus + 9851755182489609 + battery status (0=Unknown,1=Ok,2=ChangeBattery), USINT, read-only + + + UPS_BatteryCapacity + 9851755182489610 + battery capacity (%), USINT, read-only + + + UPS_BatteryRuntime + 10977655089332235 + battery runtime (s), UDINT, read-only + + + UPS_PersPowerFailCnt + 9288805229068300 + persistent power fail count, BOOL, read-only + + + UPS_PowerFailCnt + 10414705135910925 + power fail counter, UINT, read-only + + + UPS_FanError + 9288805229068302 + fan error, BOOL, read-only + + + UPS_NoBattery + 9288805229068303 + no battery, BOOL, read-only + + + UPS_TestCapacity + 18296004483809296 + test capacity, BOOL, write-only + + + UPS_BatteryReplaceDate + 12385029972885521 + battery replace date, STRING, read-only + + + UPS_IntervalServiceStatus + 9288805229068306 + interval service status, BOOL, read-only + + + UWF_CurrentState + 9288818113970177 + current protection state, BOOL, read-only + + + UWF_CurrentOverlayMode + 10977667974234114 + overlay mode of current state (0=RAM,1=Disk), UDINT, read-only + + + UWF_CurrentOverlaySize + 10977667974234115 + overlay size of current state (MB), UDINT, read-only + + + UWF_NextState + 27303216623517697 + next protection state, BOOL, read/write + + + UWF_NextOverlayMode + 28992066483781634 + overlay mode of next state (0=RAM,1=Disk), UDINT, read/write + + + UWF_NextOverlaySize + 28992066483781635 + overlay size of next state (MB), UDINT, read/write + + + UWF_NoVolumes + 10414718020943872 + number of volumes (VolumeList length), UINT, read-only + + + UWF_VolumeList_Name + 156500230933774337 + volume name, STRING, read-only + + + UWF_VolumeList_CurrentState + 153404006190022657 + current protection state of volume, BOOL, read-only + + + UWF_VolumeList_NextState + 153404006190088193 + next protection state of volume, BOOL, read-only + + + + qualified_only + + + + + USINT (USINT#1..255) + 8 + USINT + + + LowerBorder + 1 + + + UpperBorder + 255 + + + + + E_IPCDiag_Datatype + parameter datatype 1..15 + 8 + BYTE + + eBOOL + 1 + size: 1 byte + + + eSINT + 2 + + + eUSINT + 3 + + + eINT + 4 + size: 2 bytes + + + eUINT + 5 + + + eDINT + 6 + size: 4 bytes + + + eUDINT + 7 + + + eREAL + 10 + + + eULINT + 9 + size: 8 bytes + eLINT := 8, + + + eSTRING + 12 + eLREAL := 16#B, + default size: 256 bytes + + + + qualified_only + + + + + FB_IPCDiag_ParameterKey + 896 + + eParamKey + E_IPCDiag_ParameterKey + 64 + 64 + + + _eDatatype + E_IPCDiag_Datatype + 8 + 128 + + + cKeyMask_Access + LWORD + 64 + 192 + + 67553994410557440 + + + + displaymode + hex + + + + + cKeyMask_Datatype + LWORD + 64 + 256 + + 4222124650659840 + + + + displaymode + hex + + + + + cKeyMask_ModType + LWORD + 64 + 320 + + 281470681743360 + + + + displaymode + hex + + + + + cKeyMask_Area + LWORD + 64 + 384 + + 4026531840 + + + + displaymode + hex + + + + + cKeyMask_ModIdx + LWORD + 64 + 448 + + 267386880 + + + + displaymode + hex + + + + + cKeyMask_TableId + LWORD + 64 + 512 + + 983040 + + + + displaymode + hex + + + + + cKeyMask_Flags + LWORD + 64 + 576 + + 65280 + + + + displaymode + hex + + + + + cKeyMask_SubIdx + LWORD + 64 + 640 + + 255 + + + + displaymode + hex + + + + + cKeyMask_IsList + LWORD + 64 + 704 + + 144115188075855872 + + + + displaymode + hex + + + + + cKeyMask_IsModuleCnt + LWORD + 64 + 768 + + 72057594037927936 + + + + displaymode + hex + + + + + cKeyMask_IsConstant + LWORD + 64 + 832 + + 4503599627370496 + + + + displaymode + hex + + + + + IsModuleCnt + BOOL + 8 + + + GetMDPArea + BYTE + 8 + + + GetMDPTableID + returns the MDP table id (part of MDP address) + BYTE + 8 + + + GetMDPSubIndex + BYTE + 8 + + + __geteDatatype + E_IPCDiag_Datatype + 8 + + eDatatype + E_IPCDiag_Datatype + 8 + + + + property + + + + + GetMDPFlags + BYTE + 8 + + + IsListParam + BOOL + 8 + + + IsConstantParam + BOOL + 8 + + nAccess + BYTE + 8 + + + + GetMDPModuleType + E_IPCDiag_ModuleType + 16 + + + SetKey + + eParamKey + E_IPCDiag_ParameterKey + 64 + + + + + PouType + FunctionBlock + + + + + FB_DynMem_Buffer + provides a dynamically created buffer for individual data. + 256 + + _pBuffer + PVOID + 64 + 64 + + 0 + + + + conditionalshow + + + + + _nBufferSize + UDINT + 32 + 128 + + 0 + + + + conditionalshow + + + + + ipMemMan + I_DynMem_Manager + 64 + 192 + + + nInstanceCnt + UDINT + 32 + + + bAvailable + BOOL + is TRUE if a buffer is available. + 8 + 163726744 + + + nBufferSize + UDINT + current buffer size in bytes. + 32 + 163726648 + + + __getnBufferSize + UDINT + 32 + + nBufferSize + UDINT + 32 + + + + property + + + + + Resize + | This method performs a resize of the buffer memory. +| Return value: TRUE => Success, FALSE => Failure + BOOL + 8 + + nSize + new buffer size [in bytes] + UDINT + 32 + + + bPreserve + TRUE => preserve old content, FALSE=> don't preserve old content + BOOL + 8 + + + bReset + zero the allocated memory (before preserving) + BOOL + 8 + + + pNew + PVOID + 64 + + + cbNew + UDINT + 32 + + + + CreateBuffer + | creates a buffer by allocating dynamic memory. +| Returns TRUE if succeeded. + BOOL + 8 + + nSize + buffer size [in bytes] + UDINT + 32 + + + bReset + zero the allocated memory + BOOL + 8 + + + + Clear + | This method clears the buffer memory. +| Return value: TRUE => Success, FALSE => Failure + BOOL + 8 + + + PassBufferOwnership + returns TRUE if succeeded + returns FALSE if no buffers was available. + returns FALSE if one of the inputs is invalid. + BOOL + 8 + + pBuffer + PVOID + 64 + + + nBufferSize + UDINT + 64 + + + + RetrieveBufferOwnership + returns TRUE if succeeded + BOOL + 8 + + pBuffer + PVOID + 64 + + + nBufferSize + UDINT + 64 + + + + __getpBuffer + PVOID + 64 + + pBuffer + PVOID + 64 + + + + property + + + + + __getbAvailable + BOOL + 8 + + bAvailable + BOOL + 8 + + + + property + + + + + DeleteBuffer + | deletes the created buffer and frees the allocated memory. +| Returns TRUE if succeeded. + BOOL + 8 + + + + PouType + FunctionBlock + + + tc_no_symbol + + + no_explicit_call + do not call this POU directly + + + + + FB_IPCDiag_MDPReadTable + reads a full table of MDP parameters + 2240 + FB_IPCDiag_MDPRead + + + PouType + FunctionBlock + + + + + ST_IPCDiag_MDPAddress + size of structure instance: 4 bytes + 32 + + nIndex + WORD + 16 + 0 + + + nFlags + BYTE + 8 + 16 + + + nSubIndex + BYTE + 8 + 24 + + + + FB_IPCDiag_MDPReadSumCmd + reads multiple MDP addresses with parameters of the same datatype + 3200 + + bExecute + BOOL + Function block execution is triggered by a rising edge at this input. + 8 + 64 + + + ItemType + Input + + + + + pMDPAddress + ST_IPCDiag_MDPAddress + + 0 + 1001 + + 64 + 128 + + + ItemType + Input + + + + + cbMDPAddress + UDINT + 32 + 192 + + + ItemType + Input + + + + + pDstBuf + PVOID + Contains the address of the buffer for the received data. + 64 + 256 + + + ItemType + Input + + + + + nDstBufSize + UDINT + Contains the max. number of bytes to be received. + 32 + 320 + + + ItemType + Input + + + + + tTimeout + TIME + States the time before the function is cancelled. + 32 + 352 + + 5000 + + + + ItemType + Input + + + + + sNetId + T_AmsNetID + keep empty '' for the local device + 192 + 384 + + + + + + ItemType + Input + + + + + bBusy + BOOL + 8 + 576 + + + ItemType + Output + + + + + bError + BOOL + 8 + 584 + + + ItemType + Output + + + + + hrErrorCode + HRESULT + 32 + 608 + + + ItemType + Output + + + + + nCount + UDINT + returns the number of bytes received + 32 + 640 + + + ItemType + Output + + + + + nDataOffset + UDINT + offset describes beginning of data in read buffer + 32 + 672 + + + ItemType + Output + + + + + fbCmdBuffer + FB_DynMem_Buffer + 256 + 704 + + + old_input_assignments + + + + + nErrID + UDINT + 32 + 960 + + + nCmds + UDINT + 32 + 992 + + + nIdxOffset + UDINT + 32 + 1024 + + + displaymode + hex + + + + + nDataLen + UDINT + 32 + 1056 + + + nDataLenSum + UDINT + 32 + 1088 + + + fbAdsRdWrEx + ADSRDWRTEX + 1792 + 1152 + + + .PORT + 10000 + + + .IDXGRP + 62212 + + + + + RisingEdge + R_TRIG + 128 + 2944 + + + i + UDINT + 32 + 3072 + + + cSizeOfMDPAddress + USINT + 8 + 3104 + + 4 + + + + cIdxGrp + UDINT + 32 + 3136 + + 0 + + + + cCmdSize + UDINT + 32 + 3168 + + 12 + + + + + PouType + FunctionBlock + + + + + FB_IPCDiag_ReadParameterBase + | reads an IPC diagnostic parameter. +| If the parameter exists in more than one module (configuration area) all values can be read at once. +| If the parameter is a list parameter the full list will be read. (but only of one specified module) + 15232 + I_IPCDiag_AccessParameter + + bExecute + BOOL + 8 + 128 + + + ItemType + Input + + + + + eParameterKey + E_IPCDiag_ParameterKey + 64 + 192 + + + ItemType + Input + + + + + nModuleIdx + USINT + optional module selection for parameters of configuration area: 0=all corresponding modules are read (or rather the first module is read in case of list parameters) + 8 + 256 + + 0 + + + + ItemType + Input + + + + + tTimeout + TIME + ADS communication timeout + 32 + 288 + + 5000 + + + + ItemType + Input + + + + + sNetId + T_AmsNetID + keep empty '' for the local device + 192 + 320 + + + + + + ItemType + Input + + + + + xReserved + DWORD + for future extension, do not use + 32 + 512 + + + ItemType + Input + + + + + fbRegister + FB_IPCDiag_Register + read-only + 64 + 576 + + + ItemType + InOut + + + + + bValid + BOOL + read data available =(NOT bBusy AND NOT bError) + 8 + 640 + + + ItemType + Output + + + + + bBusy + BOOL + 8 + 648 + + + ItemType + Output + + + + + bError + BOOL + TRUE if an error occurred. + 8 + 656 + + + ItemType + Output + + + + + hrErrorCode + HRESULT + '< 0' = error; '> 0' = info; '0' = no error/info + 32 + 672 + + + ItemType + Output + + + + + ipErrorMessage + I_TcMessage + shows detailed information about occurred errors + 64 + 704 + + + ItemType + Output + + + + + nReadParameterValues + USINT + number of read parameter values + 8 + 768 + + + ItemType + Output + + + + + nModuleCount + USINT + number of module instances (configuration area) with the demanded parameter + 8 + 776 + + + ItemType + Output + + + + + fbKey + FB_IPCDiag_ParameterKey + 896 + 832 + + + conditionalshow + + + + + nParamDatatypeSize + UINT + 16 + 1728 + + + conditionalshow + + + + + nModuleIdxSelected + USINT + 8 + 1744 + + 0 + + + + conditionalshow + + + + + nListLen + USINT + 8 + 1752 + + + conditionalshow + + + + + fbResultBuffer + FB_DynMem_Buffer + 256 + 1792 + + + old_input_assignments + + + conditionalshow + + + + + nValidDataOffset + UDINT + 32 + 2048 + + + conditionalshow + + + + + RisingEdge + R_TRIG + 128 + 2112 + + + conditionalshow + + + + + nState + USINT + 8 + 2240 + + + conditionalshow + + + + + bSubExecute + BOOL + 8 + 2248 + + + conditionalshow + + + + + bSubBusy + BOOL + 8 + 2256 + + + conditionalshow + + + + + fbReadMDP + FB_IPCDiag_MDPRead + 2240 + 2304 + + + conditionalshow + + + + + nIndex + WORD + 16 + 4544 + + + displaymode + hex + + + conditionalshow + + + + + nFlags + BYTE + 8 + 4560 + + + conditionalshow + + + + + nSubIndex + BYTE + 8 + 4568 + + + conditionalshow + + + + + nArea + BYTE + 8 + 4576 + + + conditionalshow + + + + + nModuleId + BYTE + 8 + 4584 + + + conditionalshow + + + + + eModuleType + E_IPCDiag_ModuleType + 16 + 4592 + + + conditionalshow + + + + + nTempDW + DWORD + 32 + 4608 + + + displaymode + hex + + + conditionalshow + + + + + nTempLW + LWORD + 64 + 4672 + + + displaymode + hex + + + conditionalshow + + + + + fbReadTable + FB_IPCDiag_MDPReadTable + 2240 + 4736 + + + conditionalshow + + + + + fbBufferAddresses + FB_DynMem_Buffer + 256 + 6976 + + + old_input_assignments + + + conditionalshow + + + + + nAddresses + USINT + 8 + 7232 + + + conditionalshow + + + + + fbReadSum + FB_IPCDiag_MDPReadSumCmd + 3200 + 7296 + + + conditionalshow + + + + + stMDPAddress + ST_IPCDiag_MDPAddress + 32 + 10496 + + + conditionalshow + + + + + i + USINT + 8 + 10528 + + + conditionalshow + + + + + nTemp + UDINT + 32 + 10560 + + + conditionalshow + + + + + fbErrorMessage + FB_TcMessage + 4224 + 10624 + + + conditionalshow + + + + + FallingEdgeBUSY + F_TRIG + 128 + 14848 + + + conditionalshow + + + + + stEventEntryTemp + TcEventEntry + 192 + 14976 + + + conditionalshow + + + + + cSizeOfMDPAddress + USINT + 8 + 15168 + + 4 + + + + conditionalshow + + + + + cStateReadSingle + USINT + 8 + 15176 + + 0 + + + + conditionalshow + + + + + cStateReadTable + USINT + 8 + 15184 + + 1 + + + + conditionalshow + + + + + cStateReadSum + USINT + 8 + 15192 + + 2 + + + + conditionalshow + + + + + cStateBusy + USINT + 8 + 15200 + + 11 + + + + conditionalshow + + + + + cStateExit + USINT + 8 + 15208 + + 100 + + + + conditionalshow + + + + + ipMemMan + I_DynMem_Manager + dynamic memory manager used in the Tc3_IPCDiag library + 64 + 163726896 + + + GetParameterByIdx + access a read parameter by index specification + HRESULT + 32 + + pBuffer + parameter buffer with a given size of nBufferSize + PVOID + 64 + + + nBufferSize + buffer size in bytes (for one parameter value) + UDINT + 32 + + + nParameterIdx + selection of parameter value (1..nReadParameterValues) (e.g. equals list index in case of list parameter) + USINT (1..255) + 8 + + + nErrTmp + UDINT + 32 + + + + CopyStringFromBuffer + if multiple strings are available (by Sum Read) one string can be copied with this method + + pDstBuf + Contains the address of the buffer for the received data. + BYTE + 64 + + + nDstBufSize + Contains the max. number of bytes to be received. + UDINT + 32 + + + nParaIdx + selection of module idx or list idx (in case of list parameter) + USINT (1..255) + 8 + + + nParaSize + UDINT + 32 + + + ItemType + Output + + + + + nLenSum + UDINT + 32 + + + nLenTemp + UDINT + 32 + + + k + UDINT + 32 + + + + __getipMemMan + I_DynMem_Manager + 64 + + ipMemMan + I_DynMem_Manager + 64 + + + + property + + + + + GetParameter + access a read parameter (if more than one value is available all values can be copied at once, except for STRING types) + HRESULT + 32 + + pBuffer + parameter buffer with a given size of nBufferSize + PVOID + 64 + + + nBufferSize + buffer size in bytes (for one or more values) + UDINT + 32 + + + + Clear + HRESULT + 32 + + + GetParameterStrings + | access a read string parameter with multiple values. +| If more than one value is available all values can be copied to an ARRAY OF STRING at once. + HRESULT + 32 + + pBuffer + parameter buffer with a given size of nBufferSize + PVOID + 64 + + + nBufferSize + buffer size in bytes (for one or more values) + UDINT + 32 + + + nStrings + number of strings to be copied (each string with buffer size=nBufferSize/nStrings) + USINT + 8 + + + nParameterIdx + USINT (USINT#1..255) + 8 + + + nStringSize + UDINT + 32 + + + + + PouType + FunctionBlock + + + conditionalshow_all_locals + + + + + FB_IPCDiag_ReadParameter + | reads an IPC diagnostic parameter. +| If the parameter exists in more than one module (configuration area) all values can be read at once. +| If the parameter is a list parameter the full list will be read. (but only of one specified module) + 22144 + I_IPCDiag_AccessParameter + + bExecute + BOOL + 8 + 128 + + + ItemType + Input + + + + + eParameterKey + E_IPCDiag_ParameterKey + 64 + 192 + + + ItemType + Input + + + + + nModuleIdx + USINT + optional module selection for parameters of configuration area: 0=all corresponding modules are read (or rather the first module is read in case of list parameters) + 8 + 256 + + 0 + + + + ItemType + Input + + + + + tTimeout + TIME + ADS communication timeout + 32 + 288 + + 5000 + + + + ItemType + Input + + + + + sNetId + T_AmsNetID + keep empty '' for the local device + 192 + 320 + + + + + + ItemType + Input + + + + + xReserved + DWORD + for future extension, do not use + 32 + 512 + + + ItemType + Input + + + + + fbRegister + FB_IPCDiag_Register + read-only + 64 + 576 + + + ItemType + InOut + + + + + bValid + BOOL + read data available =(NOT bBusy AND NOT bError) + 8 + 640 + + + ItemType + Output + + + + + bBusy + BOOL + 8 + 648 + + + ItemType + Output + + + + + bError + BOOL + TRUE if an error occurred. + 8 + 656 + + + ItemType + Output + + + + + hrErrorCode + HRESULT + '< 0' = error; '> 0' = info; '0' = no error/info + 32 + 672 + + + ItemType + Output + + + + + ipErrorMessage + I_TcMessage + shows detailed information about occurred errors + 64 + 704 + + + ItemType + Output + + + + + nReadParameterValues + USINT + number of read parameter values + 8 + 768 + + + ItemType + Output + + + + + nModuleCount + USINT + number of module instances (configuration area) with the demanded parameter + 8 + 776 + + + ItemType + Output + + + + + RisingEdge + R_TRIG + 128 + 832 + + + conditionalshow + + + + + eParamSelected + E_IPCDiag_ParameterKey + 64 + 960 + + + conditionalshow + + + + + fbReadParam + FB_IPCDiag_ReadParameterBase + 15232 + 1024 + + + conditionalshow + + + + + fbErrorMessage + FB_TcMessage + 4224 + 16256 + + + conditionalshow + + + + + FallingEdgeBUSY + F_TRIG + 128 + 20480 + + + conditionalshow + + + + + stEventEntryTemp + TcEventEntry + 192 + 20608 + + + conditionalshow + + + + + sMdpTcVersion + STRING(31) + 256 + 20800 + + + conditionalshow + + + + + nFreeSpaceOfVol + ULINT + 64 + 21056 + + + conditionalshow + + + + + __FB_IPCDIAG_READPARAMETER__READFREESPACEOFVOL__NSTATE + USINT + 8 + 21120 + + + conditionalshow + + + + + __FB_IPCDIAG_READPARAMETER__READFREESPACEOFVOL__NNOVOLUMES + USINT + 8 + 21128 + + + conditionalshow + + + + + __FB_IPCDIAG_READPARAMETER__READFREESPACEOFVOL__SDRIVELETTER + STRING(7) + 64 + 21136 + + + conditionalshow + + + + + __FB_IPCDIAG_READPARAMETER__READFREESPACEOFVOL__NFOUNDIDX + USINT + 8 + 21200 + + + conditionalshow + + + + + __FB_IPCDIAG_READPARAMETER__READFREESPACEOFVOL__HR + HRESULT + 32 + 21216 + + + conditionalshow + + + + + __FB_IPCDIAG_READPARAMETER__READFREESPACEOFVOL__I + USINT + 8 + 21248 + + + conditionalshow + + + + + __FB_IPCDIAG_READPARAMETER__READMDPVERSION__NSTATE + USINT + 8 + 21256 + + + conditionalshow + + + + + __FB_IPCDIAG_READPARAMETER__READMDPVERSION__NNOSOFTWARES + USINT + 8 + 21264 + + + conditionalshow + + + + + __FB_IPCDIAG_READPARAMETER__READMDPVERSION__SSWNAME + STRING(79) + 640 + 21272 + + + conditionalshow + + + + + __FB_IPCDIAG_READPARAMETER__READMDPVERSION__NFOUNDIDX + USINT + 8 + 21912 + + + conditionalshow + + + + + __FB_IPCDIAG_READPARAMETER__READMDPVERSION__HR + HRESULT + 32 + 21920 + + + conditionalshow + + + + + __FB_IPCDIAG_READPARAMETER__READMDPVERSION__I + USINT + 8 + 21952 + + + conditionalshow + + + + + __FB_IPCDIAG_READPARAMETER__READTCVERSION__NSTATE + USINT + 8 + 21960 + + + conditionalshow + + + + + __FB_IPCDIAG_READPARAMETER__READTCVERSION__NMAJOR + UINT + 16 + 21968 + + + conditionalshow + + + + + __FB_IPCDIAG_READPARAMETER__READTCVERSION__NMINOR + UINT + 16 + 21984 + + + conditionalshow + + + + + __FB_IPCDIAG_READPARAMETER__READTCVERSION__NBUILD + UINT + 16 + 22000 + + + conditionalshow + + + + + __FB_IPCDIAG_READPARAMETER__READTCVERSION__NREVISION + UINT + 16 + 22016 + + + conditionalshow + + + + + __FB_IPCDIAG_READPARAMETER__READTCVERSION__HR + HRESULT + 32 + 22048 + + + conditionalshow + + + + + __FB_IPCDIAG_READPARAMETER__READTCVERSION__I + USINT + 8 + 22080 + + + conditionalshow + + + + + ipMemMan + I_DynMem_Manager + dynamic memory manager used in the Tc3_IPCDiag library + 64 + 163727008 + + + Clear + HRESULT + 32 + + + GetFreeSpaceOfVol + HRESULT + 32 + + pBuffer + parameter buffer with a given size of nBufferSize + PVOID + 64 + + + nBufferSize + buffer size in bytes (for one or more values) + UDINT + 32 + + + + __getipMemMan + I_DynMem_Manager + 64 + + ipMemMan + I_DynMem_Manager + 64 + + + + property + + + + + GetMDPVersion + HRESULT + 32 + + pBuffer + parameter buffer with a given size of nBufferSize + PVOID + 64 + + + nBufferSize + buffer size in bytes (for one or more values) + UDINT + 32 + + + nLen + UINT + 16 + + + + GetTCVersion + HRESULT + 32 + + pBuffer + parameter buffer with a given size of nBufferSize + PVOID + 64 + + + nBufferSize + buffer size in bytes (for one or more values) + UDINT + 32 + + + nLen + UINT + 16 + + + + GetParameterStrings + | access a read string parameter with multiple values. +| If more than one value is available all values can be copied to an ARRAY OF STRING at once. + HRESULT + 32 + + pBuffer + parameter buffer with a given size of nBufferSize + PVOID + 64 + + + nBufferSize + buffer size in bytes (for one or more values) + UDINT + 32 + + + nStrings + number of strings to be copied (each string with buffer size=nBufferSize/nStrings) + USINT + 8 + + + + ReadFreeSpaceOfVol + + nState + USINT + 8 + + + uselocation + __FB_IPCDIAG_READPARAMETER__READFREESPACEOFVOL__NSTATE + + + + + nNoVolumes + USINT + 8 + + + uselocation + __FB_IPCDIAG_READPARAMETER__READFREESPACEOFVOL__NNOVOLUMES + + + + + sDriveLetter + STRING(7) + 64 + + + uselocation + __FB_IPCDIAG_READPARAMETER__READFREESPACEOFVOL__SDRIVELETTER + + + + + nFoundIdx + USINT + 8 + + + uselocation + __FB_IPCDIAG_READPARAMETER__READFREESPACEOFVOL__NFOUNDIDX + + + + + hr + HRESULT + 32 + + + uselocation + __FB_IPCDIAG_READPARAMETER__READFREESPACEOFVOL__HR + + + + + i + USINT + 8 + + + uselocation + __FB_IPCDIAG_READPARAMETER__READFREESPACEOFVOL__I + + + + + + ReadMDPVersion + + nState + USINT + 8 + + + uselocation + __FB_IPCDIAG_READPARAMETER__READMDPVERSION__NSTATE + + + + + nNoSoftwares + USINT + 8 + + + uselocation + __FB_IPCDIAG_READPARAMETER__READMDPVERSION__NNOSOFTWARES + + + + + sSWName + STRING(79) + 640 + + + uselocation + __FB_IPCDIAG_READPARAMETER__READMDPVERSION__SSWNAME + + + + + nFoundIdx + USINT + 8 + + + uselocation + __FB_IPCDIAG_READPARAMETER__READMDPVERSION__NFOUNDIDX + + + + + hr + HRESULT + 32 + + + uselocation + __FB_IPCDIAG_READPARAMETER__READMDPVERSION__HR + + + + + i + USINT + 8 + + + uselocation + __FB_IPCDIAG_READPARAMETER__READMDPVERSION__I + + + + + + GetParameterByIdx + access a read parameter by index specification + HRESULT + 32 + + pBuffer + parameter buffer with a given size of nBufferSize + PVOID + 64 + + + nBufferSize + buffer size in bytes (for one parameter value) + UDINT + 32 + + + nParameterIdx + selection of parameter value (1..nReadParameterValues) (e.g. equals list index in case of list parameter) + USINT (1..255) + 8 + + + + ReadTCVersion + + nState + USINT + 8 + + + uselocation + __FB_IPCDIAG_READPARAMETER__READTCVERSION__NSTATE + + + + + nMajor + UINT + 16 + + + uselocation + __FB_IPCDIAG_READPARAMETER__READTCVERSION__NMAJOR + + + + + nMinor + UINT + 16 + + + uselocation + __FB_IPCDIAG_READPARAMETER__READTCVERSION__NMINOR + + + + + nBuild + UINT + 16 + + + uselocation + __FB_IPCDIAG_READPARAMETER__READTCVERSION__NBUILD + + + + + nRevision + UINT + 16 + + + uselocation + __FB_IPCDIAG_READPARAMETER__READTCVERSION__NREVISION + + + + + hr + HRESULT + 32 + + + uselocation + __FB_IPCDIAG_READPARAMETER__READTCVERSION__HR + + + + + i + USINT + 8 + + + uselocation + __FB_IPCDIAG_READPARAMETER__READTCVERSION__I + + + + + + GetParameter + access a read parameter (if more than one value is available all values can be copied at once, except for STRING types) + HRESULT + 32 + + pBuffer + parameter buffer with a given size of nBufferSize + PVOID + 64 + + + nBufferSize + buffer size in bytes (for one or more values) + UDINT + 32 + + + + + PouType + FunctionBlock + + + conditionalshow_all_locals + + + + + FB_Standard_PMPSDB + 98368 + + io_fbFFHWO + FB_HardwareFFOutput + The fast fault output to fault to. + 64 + 64 + + + ItemType + InOut + + + + + bEnable + BOOL + If TRUE, FB will run. Reads when enable goes TRUE. + 8 + 128 + + + ItemType + Input + + + + + sPlcName + STRING(80) + E.g. lfe-motion + 648 + 136 + + + ItemType + Input + + + + + bRefresh + BOOL + Set to TRUE to cause an extra read. + 8 + 784 + + + ItemType + Input + + + pytmc + + pv: REFRESH + io: io + + + + + + sDirectory + STRING(80) + Directory where the DB is stored. + 648 + 792 + + + + + + ItemType + Input + + + + + nLastRefreshTime + DINT + 32 + 1440 + + + ItemType + Output + + + pytmc + + pv: LAST_REFRESH + io: i + + + + + + bReadPmpsDb + BOOL + 8 + 1472 + + + ItemType + Output + + + + + bExecute + BOOL + 8 + 1480 + + + rtEnable + R_TRIG + 128 + 1536 + + + rtRefresh + R_TRIG + 128 + 1664 + + + ftBusy + F_TRIG + 128 + 1792 + + + fbTime + FB_LocalSystemTime + Time tracking liften from Arbiter PLCs + 20800 + 1920 + + + .bEnable + true + + + .dwCycle + 1 + + + + + fbTime_to_UTC + FB_TzSpecificLocalTimeToSystemTime + 3648 + 22720 + + + fbGetTimeZone + FB_GetTimeZoneInformation + 3776 + 26368 + + + fbIPCReg + FB_IPCDiag_Register + 45376 + 30144 + + + fbCheckOS + FB_IPCDiag_ReadParameter + 22144 + 75520 + + + sOSName + STRING(80) + 648 + 97664 + + + + + + nCheckOSTries + UINT + 16 + 98320 + + 3 + + + + + PouType + FunctionBlock + + + + + ST_PMPS_Attenuator_IO + 64 + + nTran + REAL + 32 + 0 + + + xAttOK + UINT + 16 + 32 + + + + + + + + + + + + + + + ST_PMPS_Attenuator + 64 + ST_PMPS_Attenuator_IO + + + ST_PMPS_Aperture_IO + 96 + + Width + REAL + distance between horizontal slits (x) + 32 + 0 + + + plcAttribute_pytmc + pv: Width + io: i + field: EGU mm + + + + + Height + REAL + distance between vertical slits (y) + 32 + 32 + + + plcAttribute_pytmc + pv: Height + io: i + field: EGU mm + + + + + xOK + BOOL + status of aperture, false if error or in motion + 8 + 64 + + + plcAttribute_pytmc + pv: OK + io: i + + + + + + ST_PMPS_Aperture + 96 + ST_PMPS_Aperture_IO + + + ST_BeamParams + 1760 + + nTran + REAL + Requested pre-optic attenuation % + 32 + 0 + + 0 + + + + pytmc + pv: Transmission + io: i + field: HOPR 1; + field: LOPR 0; + field: PREC 2; + + + + + + nRate + UDINT + Pulse-rate + 32 + 32 + + 0 + + + + pytmc + pv: Rate + io: i + field: EGU Hz + + + + + + neVRange + DWORD + Photon energy ranges + 32 + 64 + + 0 + + + + pytmc + pv: eVRanges + io: i + field: EGU eV + + + displaymode + binary + + + + + neV + REAL + Photon energy + 32 + 96 + + 0 + + + + pytmc + pv: PhotonEnergy + io: i + field: EGU eV + + + + + nBCRange + WORD + Beamclass ranges + 16 + 128 + + 0 + + + + pytmc + pv: BeamClassRanges + io: i + + + displaymode + binary + + + + + nBeamClass + USINT + Beamclass + 8 + 144 + + 0 + + + + pytmc + pv: BeamClass + io: i + + + + + nMachineMode + USINT + Machine Mode + 8 + 152 + + 3 + + + + pytmc + pv: MachineMode + io: i + + + + + astAttenuators + ST_PMPS_Attenuator + + 1 + 16 + + Beamline attenuators + 1024 + 160 + + + pytmc + pv: AuxAtt + io: i + + + + + aVetoDevices + BOOL + + 1 + 16 + + Stoppers + 128 + 1184 + + + pytmc + pv: Veto + io: i + + + + + astApertures + ST_PMPS_Aperture + + 1 + 4 + + Apertures + 384 + 1312 + + + [1].Width + 1000 + + + [1].Height + 1000 + + + [2].Width + 1000 + + + [2].Height + 1000 + + + [3].Width + 1000 + + + [3].Height + 1000 + + + [4].Width + 1000 + + + [4].Height + 1000 + + + + + pytmc + pv: Apt + io: i + + + + + xValidToggle + BOOL + Toggle for watchdog + 8 + 1696 + + + xValid + BOOL + Beam parameter set is valid (if readback), or acknowledged (if request) + 8 + 1704 + + + pytmc + pv: Valid + io: i + + + + + nCohortInt + UDINT + Cohort index. Identifies which cohort this BP set was included in arbitration + 32 + 1728 + + + pytmc + pv: Cohort + io: i + field: DESC Cohort inc on each arb cycle + + + + + + + PE_Ranges + Does nothing other than set the gvl for photon energy bitmask to one of two constants, K or L. + Workaround for compile defines not fully working for libraries at the time of writing this. + Otherwise I would have just used the compile define in the GVL declaration. + 64 + + + PouType + FunctionBlock + + + + + _ST_NCADS_IDXOFFS_AxisParameter + 1632 + + PARAMSTRUCT + UDINT + NC ADS Index Offsets for Axis Parameters + 32 + 0 + + 0 + + + + ID + UDINT + 32 + 32 + + 1 + + + + NAME + UDINT + 32 + 64 + + 2 + + + + AXISTYPE + UDINT + 32 + 96 + + 3 + + + + CYCLETIME + UDINT + 32 + 128 + + 4 + + + + UNITNAME + UDINT + 32 + 160 + + 5 + + + + VELOREFSEARCH + UDINT + 32 + 192 + + 6 + + + + VELOSYNCSEARCH + UDINT + 32 + 224 + + 7 + + + + VELOSLOWMANUAL + UDINT + 32 + 256 + + 8 + + + + VELOFASTMANUAL + UDINT + 32 + 288 + + 9 + + + + VELOFAST + UDINT + 32 + 320 + + 10 + + + + POSAREAENABLE + UDINT + 32 + 352 + + 15 + + + + POSAREARANGE + UDINT + 32 + 384 + + 16 + + + + MOTCNTRLENABLE + UDINT + 32 + 416 + + 17 + + + + MOTCNTRLTIME + UDINT + 32 + 448 + + 18 + + + + LOOPENABLE + UDINT + 32 + 480 + + 19 + + + + LOOPDISTANCE + UDINT + 32 + 512 + + 20 + + + + TARGETPOSENA + UDINT + 32 + 544 + + 21 + + + + TARGETPOSRANGE + UDINT + 32 + 576 + + 22 + + + + TARGETPOSTIME + UDINT + 32 + 608 + + 23 + + + + PULSDISTPOS + UDINT + 32 + 640 + + 24 + + + + PULSDISTNEG + UDINT + 32 + 672 + + 25 + + + + FADINGACCELERATION + UDINT + 32 + 704 + + 29 + + + + FASTAXISSTOPSIGNALTYPE + UDINT + 32 + 736 + + 30 + + + + UNITFLAGS + UDINT + 32 + 768 + + 38 + + + + VELOMAXIMUM + UDINT + 32 + 800 + + 39 + + + + MOTCNTRLRANGE + UDINT + 32 + 832 + + 40 + + + + PEHCONTROLENA + UDINT + 32 + 864 + + 41 + + + + PEHCONTROLTIME + UDINT + 32 + 896 + + 42 + + + + BACKLASHCOMPENA + UDINT + 32 + 928 + + 43 + + + + BACKLASH + UDINT + 32 + 960 + + 44 + + + + DATAPERSISTENCE + UDINT + 32 + 992 + + 48 + + + + HWAMSADDR + UDINT + 32 + 1024 + + 49 + + + + HWCHNNO + UDINT + 32 + 1056 + + 50 + + + + APPLICATIONREQUEST + UDINT + 32 + 1088 + + 51 + + + + ACC + UDINT + 32 + 1120 + + 257 + + + + DEC + UDINT + 32 + 1152 + + 258 + + + + JERK + UDINT + 32 + 1184 + + 259 + + + + DELAY + UDINT + 32 + 1216 + + 260 + + + + OVERRIDETYPE + UDINT + 32 + 1248 + + 261 + + + + VELOJUMPFACTOR + UDINT + 32 + 1280 + + 262 + + + + REDUCTIONFEEDBACK + UDINT + 32 + 1312 + + 263 + + + + TOLERANCEBALLAUXAXIS + UDINT + 32 + 1344 + + 264 + + + + MAXPOSDEVIATIONAUXAXIS + UDINT + 32 + 1376 + + 265 + + + + FASTACC + UDINT + 32 + 1408 + + 266 + + + + FASTDEC + UDINT + 32 + 1440 + + 267 + + + + FASTJERK + UDINT + 32 + 1472 + + 268 + + + + REF_POS + UDINT + 32 + 1504 + + 65795 + + + + ENCSEARCHDIR + UDINT + 32 + 1536 + + 65793 + + + + ENCSYNCDIR + UDINT + 32 + 1568 + + 65794 + + + + ENCREFMODE + UDINT + 32 + 1600 + + 65799 + + + + + conditionalshow + + + + + _ST_NCADS_AxisParameter + 1664 + + IDXGRP + UDINT + 32 + 0 + + 16384 + + + + IDXOFFS + _ST_NCADS_IDXOFFS_AxisParameter + 1632 + 32 + + + + conditionalshow + + + + + _ST_NCADS_IDXOFFS_AxisState + 800 + + ONLINESTRUCT + UDINT + 32 + 0 + + 0 + + + + ERROR + UDINT + 32 + 32 + + 1 + + + + SETTASKCYCLE + UDINT + + ACTPOS = TCNC_IDXOFFS_AXmappedENC | 0x00000002; // 0x00010002; + ACTVELO = TCNC_IDXOFFS_AXmappedENC | 0x00000005; // 0x00010005; + ACTACC = TCNC_IDXOFFS_AXmappedENC | 0x00000006; // 0x00010006; + MODULOACTPOS = TCNC_IDXOFFS_AXmappedENC | 0x00000003; // 0x00010003; + MODULOACTTURNS = TCNC_IDXOFFS_AXmappedENC | 0x00000004; // 0x00010004; + ACTINCREMENTS = TCNC_IDXOFFS_AXmappedENC | 0x00000007; // 0x00010007; + + 32 + 64 + + 9 + + + + SETPOS + UDINT + 32 + 96 + + 10 + + + + SETVELO + UDINT + 32 + 128 + + 14 + + + + SETACC + UDINT + 32 + 160 + + 15 + + + + MODULOSETPOS + UDINT + 32 + 192 + + 11 + + + + MODULOSETTURNS + UDINT + 32 + 224 + + 12 + + + + SETDIR + UDINT + 32 + 256 + + 13 + + + + TARGETPOSITION + UDINT + 32 + 288 + + 19 + + + + REMAININGTIMEANDPOS + UDINT + 32 + 320 + + 20 + + + + STOPINFORMATION + UDINT + 32 + 352 + + 24 + + + + SETTASKCYCLE_WITHOUTCORR + UDINT + 32 + 384 + + 25 + + + + SETPOS_WITHOUTCORR + UDINT + 32 + 416 + + 26 + + + + SETVELO_WITHOUTCORR + UDINT + 32 + 448 + + 30 + + + + SETACC_WITHOUTCORR + UDINT + 32 + 480 + + 31 + + + + SETDIR_WITHOUTCORR + UDINT + 32 + 512 + + 29 + + + + COUPLESTATE + UDINT + 32 + 544 + + 32 + + + + CPLPARAMS + UDINT + 32 + 576 + + 34 + + + + CPLFACTOR + UDINT + 32 + 608 + + 35 + + + + AXISCTRLLOOPIDX + UDINT + 32 + 640 + + 36 + + + + AXISSETPOINTGENIDX + UDINT + 32 + 672 + + 37 + + + + CPLCHARACVALUES + UDINT + 32 + 704 + + 38 + + + + CAMINFO + UDINT + 32 + 736 + + 39 + + + + CAMSTATUS + UDINT + activation status etc. + 32 + 768 + + 40 + + + + + conditionalshow + + + + + _ST_NCADS_AxisState + 832 + + IDXGRP + UDINT + 32 + 0 + + 16640 + + + + IDXOFFS + _ST_NCADS_IDXOFFS_AxisState + 800 + 32 + + + + conditionalshow + + + + + _ST_NCADS_IDXOFFS_AxisFunctions + 1792 + + RESET + UDINT + 32 + 0 + + 1 + + + + STOP + UDINT + 32 + 32 + + 2 + + + + CLEAR + UDINT + 32 + 64 + + 3 + + + + EMERGENCYSTOP + UDINT + 32 + 96 + + 4 + + + + PARAMETRIZEDSTOP + UDINT + 32 + 128 + + 5 + + + + INITIALIZE + UDINT + Initialize Axis + 32 + 160 + + 7 + + + + ORIENTEDSTOP + UDINT + 19.04.04 issue #2470 + 32 + 192 + + 9 + + + + CALIBR + UDINT + 32 + 224 + + 16 + + + + NEWTARGPOS + UDINT + 32 + 256 + + 17 + + + + NEWTARGPOSANDVELO + UDINT + 32 + 288 + + 18 + + + + CHANGEDYNAMICPARAM + UDINT + NEU: Neue Dynamikparameter (Acc;Dec) fuer Positionierung + 32 + 320 + + 21 + + + + UNIVERSALSTART + UDINT + 05.03.07: new 'axis start and restart' command with buffer mode (issue #2786+#3276) + 32 + 352 + + 22 + + + + SLAVEUNIVERSALSTART + UDINT + 24.02.09: decoupling command with succeding universal axis start commanded to a slave axis + 32 + 384 + + 23 + + + + RELEASEAXISLOCK + UDINT + 10.12.18 release axis lock for motion commands + 32 + 416 + + 24 + + + + SETEXTERNALERROR + UDINT + 06.10.04: Externen Achsfehler setzen (Laufzeitfehler) issue #2665 + 32 + 448 + + 25 + + + + SETPOS + UDINT + 32 + 480 + + 26 + + + + SETCALFLAG + UDINT + "ist referenziert"-Flag setzen + 32 + 512 + + 27 + + + + SETACTPOS + UDINT + nur Istwertsetzen ohne Sollwertaenderung !!! + 32 + 544 + + 28 + + + + SETDRIVEPOS + UDINT + antriebsseitiges Istwertsetzen + 32 + 576 + + 29 + + + + SETENCODERSCALING + UDINT + 17.07.08: "Fliegende Änderung des Skalierungsfaktors" + 32 + 608 + + 30 + + + + SETPOSONTHEFLY + UDINT + 29.11.05: "Fliegendes Istwert Setzen" (betrifft Ist - und Sollwert) (issue #3017) + 32 + 640 + + 31 + + + + START + UDINT + 32 + 672 + + 32 + + + + STARTEXT + UDINT + 32 + 704 + + 33 + + + + STARTVELOCHANGE + UDINT + special function for MMW + 32 + 736 + + 34 + + + + ENABLEEXTGEN + UDINT + enable/start external setpoint values + 32 + 768 + + 35 + + + + DISABLEEXTGEN + UDINT + disable/stop external setpoint values + 32 + 800 + + 36 + + + + REVERSINGSEQUENCE + UDINT + 32 + 832 + + 37 + + + + STARTDRVOUT + UDINT + 32 + 864 + + 38 + + + + STOPDRVOUT + UDINT + 32 + 896 + + 39 + + + + CHANGEDRVOUT + UDINT + 32 + 928 + + 40 + + + + JUMPTOOVERRIDE + UDINT + 04.11.04: jump to actual override value and froze them until change (issue #2697) + 32 + 960 + + 41 + + + + EXTSETGENUNIVERSALSTART + UDINT + 23.11.10: deactivation of external setpoint generator with succeding universal axis start command + 32 + 992 + + 43 + + + + UNIVERSALTORQUESTART + UDINT + 20181210 Fap - new 'axis torque control start and restart' command with buffer mode + 32 + 1024 + + 45 + + + + STARTSCOM + UDINT + start superposition (position compensation) - 21.12.04: nun auch als 'ReadWrite'-Kommando + 32 + 1056 + + 48 + + + + STOPSCOM + UDINT + stop superposition (position compensation) + 32 + 1088 + + 49 + + + + PHASINGREQEUST + UDINT + start/stop the additional phasing set point generator used for a master/slave phase shift + 32 + 1120 + + 52 + + + + CPL + UDINT + Achse koppeln + 32 + 1152 + + 64 + + + + DECPL + UDINT + Achse entkoppeln (und optional neue Endposition mit neuer Geschwindigkeit) + 32 + 1184 + + 65 + + + + CPLCHANGE + UDINT + Achse Koppelparams ändern + 32 + 1216 + + 66 + + + + TABCPLCHANGE + UDINT + 3x TabSlaveAxe Tabelle-Koppelparams ändern + 32 + 1248 + + 67 + + + + STOPSLAVE + UDINT + Slave-Stop (Diagonal-Slave / Flying Saw) + 32 + 1280 + + 68 + + + + CPLTAB + UDINT + TabSlaveAxe Koppelparams setzen + 32 + 1312 + + 69 + + + + TABSLVACTIVCOR + UDINT + 2x TabSlaveAxe Korrekturtabelle aktivieren (mit/ohne Aktivierungsposition) + 32 + 1344 + + 70 + + + + TABSLVLEAVECYC + UDINT + TabSlaveAxe Monozyklische Tabelle beenden + 32 + 1376 + + 71 + + + + TABSLVCOROFFSET + UDINT + TabSlaveAxe Letzter Korrektur Offset + 32 + 1408 + + 72 + + + + TABSLVSCALING + UDINT + NEU: Slave-Skalierung der Solo-Tabellenkopplung setzen + 32 + 1440 + + 73 + + + + CPLSOLOTAB + UDINT + Solo Tabellen Kopplung + 32 + 1472 + + 74 + + + + CPLSYNCHRONIZING + UDINT + Slave Synchronizing Generator ('GearInPos' and 'GearInVelo') + 32 + 1504 + + 75 + + + + CAMSCALING + UDINT + Slave Change CAM Scaling (Aenderung der Tabellenskalierung) (issue #2514) + 32 + 1536 + + 77 + + + + DISABLE + UDINT + Achse sperren + 32 + 1568 + + 80 + + + + ENABLE + UDINT + Achse reaktivieren + 32 + 1600 + + 81 + + + + CHANGEAXISCTRLLOOP + UDINT + NEU: Aenderung des aktiven Achsregelkreises + 32 + 1632 + + 82 + + + + DRIVEDISABLE + UDINT + Drive-Output der Achse sperren + 32 + 1664 + + 96 + + + + DRIVEENABLE + UDINT + Drive-Output der Achse reaktivieren + 32 + 1696 + + 97 + + + + RELEASEBRAKE + UDINT + Feststellbremse loesen (Eil/Schleich-Gruppe) + 32 + 1728 + + 98 + + + + RECONFIGURATE + UDINT + Achse zurueckfuehren in eigene PTP-Gruppe + 32 + 1760 + + 112 + + + + + conditionalshow + + + + + _ST_NCADS_AxisFunctions + 1824 + + IDXGRP + UDINT + 32 + 0 + + 16896 + + + + IDXOFFS + _ST_NCADS_IDXOFFS_AxisFunctions + 1792 + 32 + + + + conditionalshow + + + + + _ST_NCADS_Axis + 4320 + + Parameter + _ST_NCADS_AxisParameter + 1664 + 0 + + + State + _ST_NCADS_AxisState + 832 + 1664 + + + Functions + _ST_NCADS_AxisFunctions + 1824 + 2496 + + + + conditionalshow + + + + + _ST_NCADS_IDXOFFS_TableParameter + 192 + + MFREADCHARACVALUES + UDINT + read characteristic values of a motion function + 32 + 0 + + 80 + + + + WRITETABROWS + UDINT + write table + 32 + 32 + + 16777216 + + + + WRITEMFTABROWS + UDINT + write motion function table + 32 + 64 + + 83886080 + + + + MFREADTAB + UDINT + read motion function as data table + 32 + 96 + + 34 + + + + READSLAVEDYNAMICS + UDINT + read slave table position, velocity and acceleration related to a master position + 32 + 128 + + 35 + + + + READMASTERPOSITION + UDINT + read master table position related to slave position + 32 + 160 + + 36 + + + + + conditionalshow + + + + + _ST_NCADS_TableParameter + 224 + + IDXGRP + UDINT + 32 + 0 + + 40960 + + + + IDXOFFS + _ST_NCADS_IDXOFFS_TableParameter + 192 + 32 + + + + conditionalshow + + + + + _ST_NCADS_IDXOFFS_TableFunctions + 96 + + CREATETAB + UDINT + create table + 32 + 0 + + 65536 + + + + CREATEMOTIONTAB + UDINT + create motion function table + 32 + 32 + + 65552 + + + + DELETETAB + UDINT + delete tables + 32 + 64 + + 131072 + + + + + conditionalshow + + + + + _ST_NCADS_TableFunctions + 128 + + IDXGRP + UDINT + 32 + 0 + + 41472 + + + + IDXOFFS + _ST_NCADS_IDXOFFS_TableFunctions + 96 + 32 + + + + conditionalshow + + + + + _ST_NCADS_Table + 352 + + Parameter + _ST_NCADS_TableParameter + 224 + 0 + + + Functions + _ST_NCADS_TableFunctions + 128 + 224 + + + + conditionalshow + + + + + ADSRDDEVINFO + ADS read device info command. + 1344 + + NETID + T_AmsNetID + Ams net id + 192 + 64 + + + ItemType + Input + + + + + PORT + T_AmsPort + Ads communication port + 16 + 256 + + + ItemType + Input + + + + + RDINFO + BOOL + Rising edge starts command execution + 8 + 272 + + + ItemType + Input + + + + + TMOUT + TIME + Maximum time allowed for the execution of this ADS command + 32 + 288 + + 5000 + + + + ItemType + Input + + + + + BUSY + BOOL + Busy flag + 8 + 320 + + + ItemType + Output + + + + + ERR + BOOL + Error flag + 8 + 328 + + + ItemType + Output + + + + + ERRID + UDINT + ADS error code + 32 + 352 + + + ItemType + Output + + + + + DEVNAME + STRING(19) + Device name + 160 + 384 + + + ItemType + Output + + + + + DEVVER + UDINT + Device version + 32 + 544 + + + ItemType + Output + + + + + + PouType + FunctionBlock + + + hide_all_locals + + + + + _TCMCGLOBAL + Global constants and parameters + 7104 + + NCPORT_TCMC + UINT + 20110511 type changed from INT to UINT + 16 + 64 + + 500 + + + + ItemType + Input + + + + + NCPORT_TCMC_COUPLING + UINT + 20110511 type changed from INT to UINT + 16 + 80 + + 500 + + + + ItemType + Input + + + + + NCNETID_TCMC + T_AmsNetID + 192 + 96 + + + + + + ItemType + Input + + + + + NCPORT_TCMC_CAM + UINT + 20110511 type changed from INT to UINT + 16 + 288 + + 500 + + + + ItemType + Input + + + + + NCPORT_TCMC_CAM_FAST + UINT + 20150728 KSt added + 16 + 304 + + 500 + + + + ItemType + Input + + + + + NCNETID_TCMC_CAM + T_AmsNetID + 192 + 320 + + + + + + ItemType + Input + + + + + NCPORT_TCMC_SUPERPOSITION + UINT + 20140930 KSt added + 16 + 512 + + 501 + + + + ItemType + Input + + + + + NcDeviceInfoTcMainVersion + DWORD + 32 + 544 + + + ItemType + Output + + + + + NcDeviceInfoTcSubVersion + DWORD + 32 + 576 + + + ItemType + Output + + + + + NcDeviceInfoNcDriverVersion + DWORD + 32 + 608 + + + ItemType + Output + + + + + NcDeviceInfoNcVersion + DWORD + 32 + 640 + + + ItemType + Output + + + + + NcDeviceInfoNcName + STRING(19) + 160 + 672 + + + ItemType + Output + + + + + Axis + _ST_NCADS_Axis + IDXGRP and IDXOFFS constants of axis parameter/status/functions + 4320 + 832 + + + ItemType + Output + + + suppress_warning_0 + C0228 + + + + + Table + _ST_NCADS_Table + IDXGRP and IDXOFFS constants of table parameter/status/functions + 352 + 5152 + + + ItemType + Output + + + suppress_warning_0 + C0228 + + + + + NCPORT_TCNCCAMMING_TABLEFUNCTION + UINT + 16 + 5504 + + 500 + + + + tTargetPosTimeOut + TIME + 32 + 5536 + + 6000 + + + + tADSTimeOut + TIME + 32 + 5568 + + 1000 + + + + tStopMonitoringTimeOut + TIME + 32 + 5600 + + 100 + + + + NCTOPLC_FEEDBACK_MAXWAITCYCLES + INT + 16 + 5632 + + 10 + + + + fbADSRDDEVINFO + ADSRDDEVINFO + 1344 + 5696 + + + DeviceVersion + DWORD + 32 + 7040 + + + Init + BOOL + 8 + 7072 + + + ReadDeviceInfo + + + + PouType + FunctionBlock + + + conditionalshow + + + + + E_AssertionType + 8 + BYTE + + Type_UNDEFINED + 0 + + + Type_ANY + 1 + + + Type_BOOL + 2 + Primitive types + + + Type_BYTE + 3 + + + Type_DATE + 4 + + + Type_DATE_AND_TIME + 5 + + + Type_DINT + 6 + + + Type_DWORD + 7 + + + Type_INT + 8 + + + Type_LINT + 9 + + + Type_LREAL + 10 + + + Type_LTIME + 11 + + + Type_LWORD + 12 + + + Type_REAL + 13 + + + Type_SINT + 14 + + + Type_STRING + 15 + + + Type_TIME + 16 + + + Type_TIME_OF_DAY + 17 + + + Type_UDINT + 18 + + + Type_UINT + 19 + + + Type_ULINT + 20 + + + Type_USINT + 21 + + + Type_WORD + 22 + + + Type_WSTRING + 23 + + + Type_Array2D_LREAL + 24 + Array types + + + Type_Array2D_REAL + 25 + + + Type_Array3D_LREAL + 26 + + + Type_Array3D_REAL + 27 + + + Type_Array_BOOL + 28 + + + Type_Array_BYTE + 29 + + + Type_Array_DINT + 30 + + + Type_Array_DWORD + 31 + + + Type_Array_INT + 32 + + + Type_Array_LINT + 33 + + + Type_Array_LREAL + 34 + + + Type_Array_LWORD + 35 + + + Type_Array_REAL + 36 + + + Type_Array_SINT + 37 + + + Type_Array_UDINT + 38 + + + Type_Array_UINT + 39 + + + Type_Array_ULINT + 40 + + + Type_Array_USINT + 41 + + + Type_Array_WORD + 42 + + + + qualified_only + + + strict + + + + + ST_TestCaseResult + 6192 + + TestName + T_MaxString + 2048 + 0 + + + TestClassName + T_MaxString + 2048 + 2048 + + + TestIsFailed + BOOL + 8 + 4096 + + + TestIsSkipped + BOOL + 8 + 4104 + + + FailureMessage + T_MaxString + 2048 + 4112 + + + FailureType + E_AssertionType + 8 + 6160 + + + NumberOfAsserts + UINT + 16 + 6176 + + + + ST_TestSuiteResult + 621296 + + Name + T_MaxString + Full class name + 2048 + 0 + + + Identity + UINT (0..GVL_Param_TcUnit.MaxNumberOfTestSuites) + Should be 0..GVL_Param_TcUnit.MaxNumberOfTestSuites-1 but gives unknown compiler error + 16 + 2048 + + + NumberOfTests + UINT (0..GVL_Param_TcUnit.MaxNumberOfTestsForEachTestSuite) + 16 + 2064 + + + NumberOfFailedTests + UINT (0..GVL_Param_TcUnit.MaxNumberOfTestsForEachTestSuite) + 16 + 2080 + + + TestCaseResults + ST_TestCaseResult + + 1 + 100 + + 619200 + 2096 + + + + ST_TestSuiteResults + 621296064 + + NumberOfTestSuites + UINT + The total number of test suites + 16 + 0 + + + NumberOfTestCases + UINT + The total number of test cases (for all test suites) + 16 + 16 + + + NumberOfSuccessfulTestCases + UINT + The total number of test cases that had all ASSERTS successful + 16 + 32 + + + NumberOfFailedTestCases + UINT + The total number of test cases that had at least one ASSERT failed + 16 + 48 + + + TestSuiteResults + ST_TestSuiteResult + + 1 + 1000 + + Test results for each individiual test suite + 621296000 + 64 + + + + I_TestResults + 64 + PVOID + + GetAreTestResultsAvailable + BOOL + 8 + + + GetTestSuiteResults + ST_TestSuiteResults + 64 + + + + FB_TestResults + This function block holds results of the complete test run, i.e. results for all test suites + 621296448 + I_TestResults + + TestSuiteResults + ST_TestSuiteResults + Test results + 621296064 + 128 + + + StoringTestSuiteResultNumber + UINT (UINT#1..GVL_Param_TcUnit.MaxNumberOfTestSuites) + Misc variables + 16 + 621296192 + + + StoringTestSuiteTrigger + R_TRIG + 128 + 621296256 + + + StoredTestSuiteResults + BOOL + 8 + 621296384 + + + StoredGeneralTestResults + BOOL + 8 + 621296392 + + + NumberOfTestsToAnalyse + UINT (UINT#1..GVL_Param_TcUnit.MaxNumberOfTestSuites) + 16 + 621296400 + + + GetAreTestResultsAvailable + Returns whether the storing of the test results is finished + BOOL + 8 + + + GetTestSuiteResults + ST_TestSuiteResults + 64 + + + + PouType + FunctionBlock + + + + + I_TestResultLogger + 64 + PVOID + + LogTestSuiteResults + + + + FB_AdsTestResultLogger + + This function block reports the results from the tests using the built-in ADSLOGSTR functionality + provided by the Tc2_System library. This sends the result using ADS, which is consumed by the "Error List" + of Visual Studio (which can print Errors, Warnings and Messages). + + 448 + I_TestResultLogger + + TestResults + I_TestResults + 64 + 128 + + + PrintingTestSuiteResultNumber + UINT (UINT#1..GVL_Param_TcUnit.MaxNumberOfTestSuites) + 16 + 192 + + + PrintingTestSuiteTrigger + R_TRIG + 128 + 256 + + + PrintedFinalTestResults + BOOL + This flag is set once the final end result has printed + 8 + 384 + + + PrintedTestSuitesResults + BOOL + This flag is set once the test suites result have been printed + 8 + 392 + + + LogTestSuiteResults + + TcUnitTestResults + ST_TestSuiteResults + 64 + + + StringToPrint + T_MaxString + 2048 + + + TestsInTestSuiteCounter + UINT (UINT#1..GVL_Param_TcUnit.MaxNumberOfTestsForEachTestSuite) + 16 + + + MaxNumberOfTestsToPrint + UINT (UINT#1..GVL_Param_TcUnit.MaxNumberOfTestsForEachTestSuite) + 16 + + + TEST_STATUS_SKIP + STRING(80) + 648 + + + TEST_STATUS_PASS + STRING(80) + 648 + + + TEST_STATUS_FAIL + STRING(80) + 648 + + + + + PouType + FunctionBlock + + + + + FB_FileControl + + This functionblock can open, close, read, write and delete files on the local filesystem + + 192 + + FileAccessMode + ACCESS_MODE + Append_Plus creates the file if it doesn't exist yet. + 32 + 64 + + ACCESS_MODE.AM_APPEND_PLUS + + + + FileHandle + RTS_IEC_HANDLE + 64 + 128 + + + Read + + Reads a file from disk into the buffer + + RTS_IEC_RESULT + 32 + + BufferPointer + Call with ADR(); + BYTE + 64 + + + Size + Call with SIZEOF(); + UDINT + 32 + + + FileSize + RTS_IEC_SIZE + 64 + + + ItemType + Output + + + + + + Close + + Closes the currently opened file. + + RTS_IEC_RESULT + 32 + + + Open + + Opens a file + + RTS_IEC_RESULT + 32 + + FileName + File name can contain an absolute or relative path to the file. Path entries must be separated with a Slash (/) + T_MaxString + 2048 + + + FileAccessMode + ACCESS_MODE + 32 + + + + Delete + + Deletes a file specified by name, if it exists. + + RTS_IEC_RESULT + 32 + + FileName + File name can contain an absolute or relative path to the file. Path entries must be separated with a forward slash (/) + T_MaxString + 2048 + + + + Write + + Writes the contents of the buffer into a file. + + RTS_IEC_RESULT + 32 + + BufferPointer + Call with ADR(); + BYTE + 64 + + + Size + Call with SIZEOF(); + UDINT + 32 + + + + + PouType + FunctionBlock + + + + + E_XmlError + 8 + BYTE + + Ok + 0 + + + ErrorMaxBufferLen + 1 + + + ErrorStringLen + 2 + + + Error + 3 + + + + qualified_only + + + strict + + + + + FB_StreamBuffer + + This functionblock acts as a stream buffer for use with FB_XmlControl + + 192 + + _PointerToStringBuffer + BYTE + 64 + 64 + + + _BufferSize + UDINT + 32 + 128 + + + _Length + UDINT + 32 + 160 + + + CutOff + T_MaxString + 2048 + + StartPos + UDINT + 32 + + + CutLen + UDINT + 32 + + + ItemType + Output + + + + + XmlError + E_XmlError + 8 + + + ItemType + Output + + + + + Loop + UDINT + 32 + + + PointerToByteToCut + BYTE + 64 + + + PointerToByteBuffer + BYTE + 64 + + + + Find + + Find a searchstring in the buffer and returns its position. + It's possible to add a preffered startposition within buffer + + UDINT + 32 + + SearchString + STRING(80) + 648 + + + StartPos + UDINT + 32 + + + Loop + UDINT + 32 + + + Search + UDINT + 32 + + + PointerToBuffer + BYTE + 64 + + + PointerToSearch + BYTE + 64 + + + + FindBack + UDINT + 32 + + SearchString + T_MaxString + 2048 + + + Loop + UDINT + 32 + + + Search + UDINT + 32 + + + PointerToBuffer + BYTE + 64 + + + PointerToSearch + BYTE + 64 + + + + __getLength + + Gets/Sets the current length (in bytes) of the streambuffer + + UDINT + 32 + + Length + UDINT + 32 + + + + property + + + + + Clear + + Clears the buffer and sets the length to 0 + + + Count + UDINT + 32 + + + + __setAppend + + Appends a string to the buffer + + + Append + + Appends a string to the buffer + + T_MaxString + 2048 + + + ByteIn + BYTE + 64 + + + ByteBuffer + BYTE + 64 + + + + property + + + + + __getBufferSize + + Read current Buffersize + + UDINT + 32 + + BufferSize + UDINT + 32 + + + + property + + + + + __setLength + + Gets/Sets the current length (in bytes) of the streambuffer + + + Length + + Gets/Sets the current length (in bytes) of the streambuffer + + UDINT + 32 + + + + property + + + + + SetBuffer + BOOL + 8 + + PointerToBufferAddress + Set buffer address (ADR ...) + BYTE + 64 + + + SizeOfBuffer + Set buffer size (SIZEOF ...) + UDINT + 32 + + + + Copy + + Copies a string from the character buffer + + T_MaxString + 2048 + + StartPos + UDINT + 32 + + + EndPos + UDINT + 32 + + + CopyLen + UDINT + 32 + + + ItemType + Output + + + + + XmlError + E_XmlError + 8 + + + ItemType + Output + + + + + Loop + UDINT + 32 + + + PointerToByteToCopy + BYTE + 64 + + + PointerToBuffer + BYTE + 64 + + + CurPos + UDINT + 32 + + + + + PouType + FunctionBlock + + + + + FB_XmlControl + + Organizes parsing and composing of XML data. Data can be treated as STRING or char array. + Buffer size of file can be set via GVL_Param_TcUnit (xUnitBufferSize) + + 6016 + + XmlBuffer + FB_StreamBuffer + 192 + 64 + + + TagListBuffer + FB_StreamBuffer + 192 + 256 + + + Tags + T_MaxString + 2048 + 448 + + + TagListSeekBuffer + FB_StreamBuffer + 192 + 2496 + + + TagsSeek + STRING(80) + 648 + 2688 + + + TagBuffer + FB_StreamBuffer + 192 + 3392 + + + Tag + T_MaxString + 2048 + 3584 + + + TagOpen + BOOL + 8 + 5632 + + + Select + UDINT + 32 + 5664 + + + SearchPosition + UDINT + 32 + 5696 + + + TAG_OPEN + STRING(1) + 16 + 5728 + + < + + + + TAG_CLOSE + STRING(1) + 16 + 5744 + + > + + + + END_TAG_CLOSE + STRING(2) + 24 + 5760 + + /> + + + + SPACE + STRING(1) + 16 + 5784 + + + + + + EQUALS + STRING(1) + 16 + 5800 + + = + + + + QUOTE + STRING(1) + 16 + 5816 + + " + + + + BACK_SLASH + STRING(1) + 16 + 5832 + + \ + + + + FORWARD_SLASH + STRING(1) + 16 + 5848 + + / + + + + OPEN_COMMENT + STRING(5) + 48 + 5864 + + <!-- + + + + CLOSE_COMMENT + STRING(4) + 40 + 5912 + + --> + + + + TAB + STRING(2) + 24 + 5952 + + + + + + CR_LF + STRING(4) + 40 + 5976 + + + + + + + NewParameter + + Must be called after opening a new tag + + XML.NewParameter(Name: = 'ParaName', Value: = 'Value'); + + + Name + T_MaxString + 2048 + + + Value + T_MaxString + 2048 + + + + NewTag + + Creates a new Tag: + XML: <MyTag> + + XML.NewTag(Name: = 'MyTag'); + + + Name + T_MaxString + 2048 + + + + CloseTag + + Closes a Tag: + XML: <MyTag />' + + Method: XML.CloseTag(); + + T_MaxString + 2048 + + ClosedTag + T_MaxString + 2048 + + + + WriteDocumentHeader + + Add your own preffered fileheader like: + XML: <?xml version="1.0" encoding="UTF-8"?> + + Start with calling this method before appending any other tags! + + XML.WriteDocumentHeader('<?xml version="1.0" encoding="UTF-8"?>'); + + + Header + T_MaxString + 2048 + + + + NewComment + + Adds a comment + XML: <!-- MyComment --> + + XML.NewComment(Comment: = 'MyComment'); + + + Comment + T_MaxString + 2048 + + + + __getLength + UDINT + 32 + + Length + UDINT + 32 + + + + property + + + + + NewTagData + + Data + T_MaxString + 2048 + + + + SetBuffer + + PointerToBuffer + ADR(..) + BYTE + 64 + + + SizeOfBuffer + SIZEOF(..) + UDINT + 32 + + + + ClearBuffer + + Clears the contents of the entire buffer. + + + + ToStartBuffer + + Jump to the beginning of the XML data + XML.ToStartBuffer(); + + + + + PouType + FunctionBlock + + + + + FB_xUnitXmlPublisher + + Publishes test results into an xUnit compatible Xml file + + 530944 + I_TestResultLogger + + TestResults + I_TestResults + Dependancy Injection via FB_Init + 64 + 128 + + + AccessMode + ACCESS_MODE + File access mode + 32 + 192 + + ACCESS_MODE.AM_WRITE_PLUS + + + + File + FB_FileControl + 192 + 256 + + + Xml + FB_XmlControl + 6016 + 448 + + + BufferInitialised + BOOL + 8 + 6464 + + false + + + + Buffer + BYTE + + 0 + 65535 + + 524280 + 6472 + + + WritingTestSuiteResultNumber + UINT (UINT#1..GVL_Param_TcUnit.MaxNumberOfTestSuites) + 16 + 530752 + + + PublishTrigger + R_TRIG + 128 + 530816 + + + DeleteOpenWriteClose + + Deletes the former file (if it exists). + Opens the file, writes the buffer and closes it. + + RTS_IEC_RESULT + 32 + + + LogTestSuiteResults + + This method is responsible for the entire generation of the output file. + The output of the xml writer is NOT beautified. + + When new data is available, feel free to add it to the report + + + UnitTestResults + ST_TestSuiteResults + 64 + + + CurrentSuiteNumber + UINT + 16 + + + CurrentTestCount + UINT + 16 + + + TEST_STATUS_SKIP + STRING(80) + 648 + + + TEST_STATUS_PASS + STRING(80) + 648 + + + TEST_STATUS_FAIL + STRING(80) + 648 + + + + Initialised + BOOL + 8 + + + + PouType + FunctionBlock + + + + + FB_TcUnitRunner + + This function block is responsible for holding track of the tests and executing them. + + 621828480 + + AllTestSuitesFinished + BOOL + Indication of whether all test suites have reported that they are finished + 8 + 64 + + false + + + + TestResults + FB_TestResults + Test result information + 621296448 + 128 + + + AdsTestResultLogger + FB_AdsTestResultLogger + Prints the results to ADS so that Visual Studio can display the results. + This test result formatter can be replaced with something else than ADS + 448 + 621296576 + + + old_input_assignments + + + + + TestResultLogger + I_TestResultLogger + 64 + 621297024 + + + AbortRunningTestSuites + BOOL + If this flag is set, it means that some external event triggered the + request to abort running the test suites + 8 + 621297088 + + + xUnitXmlPublisher + FB_xUnitXmlPublisher + Publishes a xUnit compatible XML file + 530944 + 621297152 + + + old_input_assignments + + + + + XmlTestResultPublisher + I_TestResultLogger + 64 + 621828096 + + + __FB_TCUNITRUNNER__RUNTESTSUITETESTSINSEQUENCE__CURRENTLYRUNNINGTESTSUITE + UINT + This variable holds which current test suite is being called, as we are running + each one in a sequence (one by one) + 16 + 621828160 + + 1 + + + + __FB_TCUNITRUNNER__RUNTESTSUITETESTSINSEQUENCE__TIMERBETWEENEXECUTIONOFTESTSUITES + TOF + 256 + 621828224 + + + AbortRunningTestSuiteTests + This function sets a flag which makes the runner stop running the tests + in the test suites + + + RunTestSuiteTestsInSequence + This runs all the test suites in sequence (one after the other) + + TimeBetweenTestSuitesExecution + Time delay between a test suite is finished and the next test suite starts + TIME + 32 + + + BusyPrinting + BOOL + 8 + + + NumberOfTestSuitesFinished + We need to hold a temporary state of the statistics + as we don't consider the tests to be completely finished until all test suites have executed completely. + The reason we want to do it this way is because a test suite can run over several cycles. Only once all tests + are finished (which might take many cycles), do we gather correct statistics + UINT + 16 + + + CurrentlyRunningTestSuite + This variable holds which current test suite is being called, as we are running + each one in a sequence (one by one) + UINT + 16 + + + uselocation + __FB_TCUNITRUNNER__RUNTESTSUITETESTSINSEQUENCE__CURRENTLYRUNNINGTESTSUITE + + + + + TimerBetweenExecutionOfTestSuites + TOF + 256 + + + uselocation + __FB_TCUNITRUNNER__RUNTESTSUITETESTSINSEQUENCE__TIMERBETWEENEXECUTIONOFTESTSUITES + + + + + + RunTestSuiteTests + This runs all the test suites in parallel + + Counter + UINT + 16 + + + BusyPrinting + BOOL + 8 + + + NumberOfTestSuitesFinished + We need to hold a temporary state of the statistics + as we don't consider the tests to be completely finished until all test suites have executed completely. + The reason we want to do it this way is because a test suite can run over several cycles. Only once all tests + are finished (which might take many cycles), do we gather correct statistics + UINT + 16 + + + + + PouType + FunctionBlock + + + + + FB_Test + + This function block holds all data that defines a test. + + 4224 + + TestName + T_MaxString + 2048 + 64 + + + TestIsFinished + BOOL + 8 + 2112 + + + TestIsSkipped + BOOL + This is set to true, if test is disabled (by putting the string "disabled_" in front of the test name + 8 + 2120 + + + NumberOfAssertions + UINT + 16 + 2128 + + + TestOrderNumber + UINT (0..GVL_Param_TcUnit.MaxNumberOfTestsForEachTestSuite) + In which order/sequence relative to the order tests should this test be executed/evaluated. + A value of 0 means it is not defined by TEST_ORDERED() but by un-ordered test (TEST()). + A value <> 0 tells in which order this test will be executed/evaluated. The lower the number, the earlier it will execute. + 16 + 2144 + + + TestIsFailed + BOOL + Indication of whether this test has at least one failed assert + 8 + 2160 + + + AssertionMessage + T_MaxString + Assertion message for the first assertion in this test + 2048 + 2168 + + + AssertionType + E_AssertionType + Assertion type for the first assertion in this test + 8 + 4216 + + + GetAssertionType + E_AssertionType + 8 + + + SetFailed + + + SetName + + Name + T_MaxString + 2048 + + + + GetName + T_MaxString + 2048 + + + SetNumberOfAssertions + + NoOfAssertions + UINT + 16 + + + + SetTestOrder + Sets in which order/sequence relative to the order tests should this test be executed/evaluated. + + OrderNumber + UINT (0..GVL_Param_TcUnit.MaxNumberOfTestsForEachTestSuite) + 16 + + + + IsSkipped + BOOL + 8 + + + GetNumberOfAssertions + UINT + 16 + + + SetFinished + BOOL + 8 + + + GetAssertionMessage + T_MaxString + 2048 + + + SetSkipped + Sets the test case to skipped + + + SetAssertionMessage + Sets the assertion message. If one already exists, it's not overwritten as we keep the first assertion in the test + + AssertMessage + T_MaxString + 2048 + + + + SetAssertionType + Sets the assertion type. If one already exists, it's not overwritten as we keep the first assertion in the test + + AssertType + E_AssertionType + 8 + + + + IsFinished + BOOL + 8 + + + GetTestOrder + Gets in which order/sequence relative to the order tests should this test be executed/evaluated. + UINT (0..GVL_Param_TcUnit.MaxNumberOfTestsForEachTestSuite) + 16 + + + IsFailed + BOOL + 8 + + + + PouType + FunctionBlock + + + + + U_ExpectedOrActual + 4096 + + boolExpectedOrActual + BOOL + 8 + 0 + + + bitExpectedOrActual + BIT + 8 + 0 + + + byteExpectedOrActual + BYTE + 8 + 0 + + + sintExpectedOrActual + SINT + 8 + 0 + + + usintExpectedOrActual + USINT + 8 + 0 + + + intExpectedOrActual + INT + 16 + 0 + + + uintExpectedOrActual + UINT + 16 + 0 + + + wordExpectedOrActual + WORD + 16 + 0 + + + dwordExpectedOrActual + DWORD + 32 + 0 + + + dateandtimeExpectedOrActual + DATE_AND_TIME + 32 + 0 + + + dintExpectedOrActual + DINT + 32 + 0 + + + realExpectedOrActual + REAL + 32 + 0 + + + timeExpectedOrActual + TIME + 32 + 0 + + + dateExpectedOrActual + DATE + 32 + 0 + + + udintExpectedOrActual + UDINT + 32 + 0 + + + timeofdayExpectedOrActual + TIME_OF_DAY + 32 + 0 + + + lwordExpectedOrActual + LWORD + 64 + 0 + + + lintExpectedOrActual + LINT + 64 + 0 + + + ulintExpectedOrActual + ULINT + 64 + 0 + + + lrealExpectedOrActual + LREAL + 64 + 0 + + + ltimeExpectedOrActual + LTIME + 64 + 0 + + + stringExpectedOrActual + T_MaxString + 2048 + 0 + + + wstringExpectedOrActual + WSTRING(255) + 4096 + 0 + + + + ST_AssertResult + 12288 + + Expected + U_ExpectedOrActual + 4096 + 0 + + + Actual + U_ExpectedOrActual + 4096 + 4096 + + + Message + T_MaxString + 2048 + 8192 + + + TestInstancePath + T_MaxString + 2048 + 10240 + + + + ST_AssertResultInstances + 12352 + + AssertResult + ST_AssertResult + 12288 + 0 + + + DetectionCount + UINT + Number of instances of the "AssertResult" + 16 + 12288 + + + DetectionCountThisCycle + UINT + Number of instance of the "AssertResult" in this specific PLC-cycle + 16 + 12304 + + + + FB_AssertResultStatic + + This function block is responsible for keeping track of which asserts that have been made. The reason we need to + keep track of these is because if the user does the same assert twice (because of running a test suite over several + PLC-cycles) we want to know it so we don't print several times (if the assert fails). + An instance of an assert is keyed/identified with the following parameters as key: + - Value of expected + - Value of actual + - Message (string) + - Test instance path (string) + + 24640448 + + AssertResults + ST_AssertResult + + 1 + 1000 + + The total number of instances of each of the "AssertResults" + 12288000 + 64 + + + TotalAsserts + UINT + The total number of unique asserts + 16 + 12288064 + + 0 + + + + GetCurrentTaskIndex + GETCURTASKINDEX + Function block to get the current task cycle + 256 + 12288128 + + + AssertResultInstances + ST_AssertResultInstances + + 1 + 1000 + + The total number of instances of each of the "AssertResults" + 12352000 + 12288384 + + + CycleCount + UDINT + The last PLC cycle count + 32 + 24640384 + + + FirstCycleExecuted + BOOL + Only run first cycle + 8 + 24640416 + + + CopyDetectionCountAndResetDetectionCountInThisCycle + + IteratorCounter + UINT + 16 + + + + GetNumberOfAssertsForTest + UINT + 16 + + CompleteTestInstancePath + T_MaxString + 2048 + + + Counter + UINT + 16 + + + NumberOfAsserts + UINT + 16 + + + + CreateAssertResultInstance + + ExpectedSize + UDINT + 32 + + + ExpectedTypeClass + TypeClass + 16 + + + ExpectedValue + BYTE + 64 + + + ActualSize + UDINT + 32 + + + ActualTypeClass + TypeClass + 16 + + + ActualValue + BYTE + 64 + + + Message + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + IteratorCounter + UINT + 16 + + + + GetDetectionCountThisCycle + UINT + 16 + + ExpectedSize + UDINT + 32 + + + ExpectedTypeClass + TypeClass + 16 + + + ExpectedValue + BYTE + 64 + + + ActualSize + UDINT + 32 + + + ActualTypeClass + TypeClass + 16 + + + ActualValue + BYTE + 64 + + + Message + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + IteratorCounter + UINT + 16 + + + + GetDetectionCount + UINT + 16 + + ExpectedSize + UDINT + 32 + + + ExpectedTypeClass + TypeClass + 16 + + + ExpectedValue + BYTE + 64 + + + ActualSize + UDINT + 32 + + + ActualTypeClass + TypeClass + 16 + + + ActualValue + BYTE + 64 + + + Message + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + IteratorCounter + UINT + 16 + + + + ReportResult + + This method is called in every assert and returns whether this particular assert has already been called. + The reason one would like to know whether this assert has already been reported or not is to not report it several + times to any logging service. Because a test-suite can consist of several tests, and certain tests can require the + test to run over several cycles it means that certain asserts could be called several times and thus we need to + keep track of which asserts we've already reported. The user of the framework should not need to care for any of + this and he/she should be able to call the asserts in any way they find suitable. + + To know what assert this is we need to check for the total combination of: + - Test message + - Test instance path + - Expected value + - Actual value + Theoretically we can have a situation where a test has three different asserts, each and one with the same test + message/test instance path/actual value/expected value but called within the same or different cycles. In order for + us to handle all situations we need a simple algorithm that works according to: + - Keep track of how many instances the combination of test message/test instance path/expected value/actual value + we have. So for example, if we have called Assert(Exp := 5, Act := 5, 'Hello there', 'PRG.InstanceTestSuite.Test') + two times in one cycle, we have two instances of that combination. This is done according to: + - Iterate all existing reports. + - If we have a new PLC-cycle, set the current detection-count to zero. + - If new report does not match in any of the above fields, create it (together with current PLC-cycle). + Also store the information that we have one instance of this combination and +1 on the detection-count. + - If new report matches in all of the above, +1 in the detection-count. If this detection-count is larger than + the stored detection-count for this combination, create a new report and add +1 to the storage of + the detection-count. + + + ExpectedSize + UDINT + 32 + + + ExpectedTypeClass + TypeClass + 16 + + + ExpectedValue + BYTE + 64 + + + ActualSize + UDINT + 32 + + + ActualTypeClass + TypeClass + 16 + + + ActualValue + BYTE + 64 + + + Message + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + ItemType + Output + + + + + LocationIndex + UINT + 16 + + + DataTypesNotEquals + BOOL + 8 + + + DataSizeNotEquals + BOOL + 8 + + + DataContentNotEquals + BOOL + 8 + + + CurrentCycleCount + UDINT + 32 + + + IteratorCounter + UINT + 16 + + + DetectionCountTemp + UINT + 16 + + + FoundOne + BOOL + 8 + + + AdditionalIdenticalAssert + BOOL + 8 + + + + AddAssertResult + + ExpectedSize + UDINT + 32 + + + ExpectedTypeClass + TypeClass + 16 + + + ExpectedValue + BYTE + 64 + + + ActualSize + UDINT + 32 + + + ActualTypeClass + TypeClass + 16 + + + ActualValue + BYTE + 64 + + + Message + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + + + PouType + FunctionBlock + + + + + ST_AssertArrayResult + 4224 + + ExpectedsSize + UDINT + Size in bytes of the expecteds-array + 32 + 0 + + + ExpectedsTypeClass + TypeClass + The data type of the expecteds-array + 16 + 32 + + + ActualsSize + UDINT + Size in bytes of the actuals-array + 32 + 64 + + + ActualsTypeClass + TypeClass + The data type of the actuals-array + 16 + 96 + + + Message + T_MaxString + 2048 + 112 + + + TestInstancePath + T_MaxString + 2048 + 2160 + + + + ST_AssertArrayResultInstances + 4256 + + AssertArrayResult + ST_AssertArrayResult + 4224 + 0 + + + DetectionCount + UINT + Number of instances of the "AssertArrayResult" + 16 + 4224 + + + DetectionCountThisCycle + UINT + Number of instance of the "AssertArrayResult" in this specific PLC-cycle + 16 + 4240 + + + + FB_AssertArrayResultStatic + + This function block is responsible for keeping track of which array-asserts that have been made. + The reason we need to keep track of these is because if the user does the same assert twice + (because of running a test suite over several PLC-cycles) we want to know it so we don't print several times + (if the assert fails). An instance of an array-assert is keyed/identified with the following parameters as key: + - Array-size (in bytes) of the expecteds + - Datatype of the expecteds + - Array-size (in bytes) of the actuals + - Datatype of the actuals + - Message (string) + - Test instance path (string) + + 8480448 + + AssertArrayResults + ST_AssertArrayResult + + 1 + 1000 + + The total number of instances of each of the "AssertArrayResults" + 4224000 + 64 + + + TotalArrayAsserts + UINT + The total number of unique asserts + 16 + 4224064 + + 0 + + + + GetCurrentTaskIndex + GETCURTASKINDEX + Function block to get the current task cycle + 256 + 4224128 + + + AssertArrayResultInstances + ST_AssertArrayResultInstances + + 1 + 1000 + + The total number of instances of each of the "AssertArrayResults" + 4256000 + 4224384 + + + CycleCount + UDINT + The last PLC cycle count + 32 + 8480384 + + + FirstCycleExecuted + BOOL + Only run first cycle + 8 + 8480416 + + + CreateAssertResultInstance + + ExpectedsSize + UDINT + 32 + + + ExpectedsTypeClass + TypeClass + 16 + + + ActualsSize + UDINT + 32 + + + ActualsTypeClass + TypeClass + 16 + + + Message + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + IteratorCounter + UINT + 16 + + + + GetDetectionCountThisCycle + UINT + 16 + + ExpectedsSize + UDINT + 32 + + + ExpectedsTypeClass + TypeClass + 16 + + + ActualsSize + UDINT + 32 + + + ActualsTypeClass + TypeClass + 16 + + + Message + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + IteratorCounter + UINT + 16 + + + + GetDetectionCount + UINT + 16 + + ExpectedsSize + UDINT + 32 + + + ExpectedsTypeClass + TypeClass + 16 + + + ActualsSize + UDINT + 32 + + + ActualsTypeClass + TypeClass + 16 + + + Message + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + IteratorCounter + UINT + 16 + + + + ReportResult + + This method is called in every assert and returns whether this particular assert has already been called. + The reason one would like to know whether this assert has already been reported or not is to not report it several + times to any logging service. Because a test-suite can consist of several tests, and certain tests can require the + test to run over several cycles it means that certain asserts could be called several times and thus we need to + keep track of which asserts we've already reported. The user of the framework should not need to care for any of + this and he/she should be able to call the asserts in any way they find suitable. + + To know what assert this is we need to check for the total combination of: + - Test message + - Test instance path + - Expecteds size (in bytes) + - Actuals size (in bytes) + - Expecteds datatype + - Actuals datatype + Theoretically we can have a situation where a test has three different asserts, each and one with the same test + message/test instance path/actuals size&datatype/expecteds size&datatype but called within the same or different + cycles. In order for us to handle all situations we need a simple algorithm that works according to: + - Keep track of how many instances the combination of test message/test instance path/expecteds size&datatype/ + actuals size&datatype we have. So for example, if we have called + Assert(Exp := [5,4,3], Act := [5,4,3], 'Hello there', 'PRG.InstanceTestSuite.Test') + two times in one cycle, we have two instances of that combination. This is done according to: + - Iterate all existing reports. + - If we have a new PLC-cycle, set the current detection-count to zero. + - If new report does not match in any of the above fields, create it (together with current PLC-cycle). + Also store the information that we have one instance of this combination and +1 on the detection-count. + - If new report matches in all of the above, +1 in the detection-count. If this detection-count is larger than + the stored detection-count for this combination, create a new report and add +1 to the storage of + the detection-count. + + + ExpectedsSize + UDINT + 32 + + + ExpectedsTypeClass + TypeClass + 16 + + + ActualsSize + UDINT + 32 + + + ActualsTypeClass + TypeClass + 16 + + + Message + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + ItemType + Output + + + + + LocationIndex + UINT + 16 + + + DataTypesNotEquals + BOOL + 8 + + + DataSizeNotEquals + BOOL + 8 + + + DataContentNotEquals + BOOL + 8 + + + CurrentCycleCount + UDINT + 32 + + + IteratorCounter + UINT + 16 + + + DetectionCountTemp + UINT + 16 + + + FoundOne + BOOL + 8 + + + AdditionalIdenticalAssert + BOOL + 8 + + + + GetNumberOfArrayAssertsForTest + UINT + 16 + + CompleteTestInstancePath + T_MaxString + 2048 + + + Counter + UINT + 16 + + + NumberOfArrayAsserts + UINT + 16 + + + + CopyDetectionCountAndResetDetectionCountInThisCycle + + IteratorCounter + UINT + 16 + + + + AddAssertArrayResult + + ExpectedsSize + UDINT + 32 + + + ExpectedsTypeClass + TypeClass + 16 + + + ActualsSize + UDINT + 32 + + + ActualsTypeClass + TypeClass + 16 + + + Message + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + + + PouType + FunctionBlock + + + + + I_AssertMessageFormatter + 64 + PVOID + + LogAssertFailure + + Expected + T_MaxString + 2048 + + + Actual + T_MaxString + 2048 + + + Message + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + + + FB_AdjustAssertFailureMessageToMax253CharLength + + This function block is responsible for making sure that the asserted test instance path and test message are not + loo long. The total printed message can not be more than 253 characters long. + + 11648 + + MsgFmtString + T_MaxString + Test instance path + 2048 + 64 + + + ItemType + Input + + + + + StringArg + T_MaxString + Test message + 2048 + 2112 + + + ItemType + Input + + + + + MsgFmtStringProcessed + T_MaxString + 2048 + 4160 + + + ItemType + Output + + + + + StringArgProcessed + T_MaxString + 2048 + 6208 + + + ItemType + Output + + + + + MsgFmtStringTemp + T_MaxString + 2048 + 8256 + + + TestNameTooLong + STRING(80) + 648 + 10304 + + ...TestName too long + + + + TestMsgTooLong + STRING(80) + 648 + 10952 + + ...TestMsg too long + + + + MSG_FMT_STRING_MAX_NUMBER_OF_CHARACTERS + INT + This is actually 254, but if StrArg-argument is used (which it is in TcUnit) it is 253. + 16 + 11600 + + 253 + + + + + PouType + FunctionBlock + + + + + FB_AdsAssertMessageFormatter + + This function block is responsible for printing the results of the assertions using the built-in + ADSLOGSTR functionality provided by the Tc2_System library. This sends the result using ADS, which + is consumed by the error list of Visual Studio. + + 128 + I_AssertMessageFormatter + + LogAssertFailure + + Expected + T_MaxString + 2048 + + + Actual + T_MaxString + 2048 + + + Message + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + AdjustAssertFailureMessageToMax253CharLength + FB_AdjustAssertFailureMessageToMax253CharLength + 11648 + + + TestInstancePathCleaned + T_MaxString + 2048 + + + TestInstancePathFinal + T_MaxString + 2048 + + + ReturnValue + DINT + 32 + + + TestInstancePathProcessed + T_MaxString + 2048 + + + MessageProcessed + T_MaxString + 2048 + + + + + PouType + FunctionBlock + + + + + FB_TestSuite + This function block is responsible for holding the internal state of the test suite. + Every test suite can have one or more tests, and every test can do one or more asserts. + It's also responsible for providing all the assert-methods for asserting different data types. + Only failed assertions are recorded. + + 33561984 + + InstancePath + T_MaxString + 2048 + 64 + + + instance-path + + + noinit + + + + + GetCurrentTaskIndex + GETCURTASKINDEX + We need to have access to specific information of the current task that this test suite + is executed in. This is for instance necessary when we need to know whether a test is + defined already. The definition of a test that is defined already is that we call on it + with the same name twice in the same cycle + 256 + 2112 + + + NumberOfTests + UINT (0..GVL_Param_TcUnit.MaxNumberOfTestsForEachTestSuite) + 16 + 2368 + + 0 + + + + Tests + FB_Test + + 1 + 100 + + 422400 + 2432 + + + TestDuplicateNameTrigger + R_TRIG + + 1 + 100 + + Rising trigger of whether we have already notified the user of that the test name pointed to by the current + position is a duplicate + 12800 + 424832 + + + TestCycleCountIndex + UDINT + + 1 + 100 + + Last cycle count index for a specific test. Used to detect whether this test has already been defined in the + current test suite + 3200 + 437632 + + + AssertResults + FB_AssertResultStatic + 24640448 + 440832 + + + AssertArrayResults + FB_AssertArrayResultStatic + 8480448 + 25081280 + + + AdsAssertMessageFormatter + FB_AdsAssertMessageFormatter + Prints the failed asserts to ADS so that Visual Studio can display the assert message. + This assert formatter can be replaced with something else than ADS + 128 + 33561728 + + + AssertMessageFormatter + I_AssertMessageFormatter + 64 + 33561856 + + + HasStartedRunning + BOOL + Indication whether this test suite has started running its tests + 8 + 33561920 + + + NumberOfOrderedTests + UINT (0..GVL_Param_TcUnit.MaxNumberOfTestsForEachTestSuite) + Number of ordered tests (created by TEST_ORDERED()) that this test suite contains + 16 + 33561936 + + + AssertEquals_LINT + + Asserts that two LINTs are equal. If they are not, an assertion error is created. + + + Expected + LINT expected value + LINT + 64 + + + Actual + LINT actual value + LINT + 64 + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + + GetNumberOfSuccessfulTests + UINT + 16 + + + AssertArrayEquals_ULINT + + Asserts that two ULINT arrays are equal. If they are not, an assertion error is created. + + + Expecteds + ULINT array with expected values + ULINT + 64 + + + variable_length_array + + + Dimensions + 1 + + + + + Actuals + ULINT array with actual values + ULINT + 64 + + + variable_length_array + + + Dimensions + 1 + + + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + Equals + BOOL + 8 + + + SizeEquals + BOOL + 8 + + + Index + DINT + 32 + + + ExpectedString + T_MaxString + 2048 + + + ActualString + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + TestInstancePath + T_MaxString + 2048 + + + SizeOfExpecteds + DINT + 32 + + + SizeOfActuals + DINT + 32 + + + ExpectedsIndex + DINT + 32 + + + ActualsIndex + DINT + 32 + + + + FindTestSuiteInstancePath + Searches for the instance path of the calling function block + T_MaxString + 2048 + + + AssertEquals_TIME + + Asserts that two TIMEs are equal. If they are not, an assertion error is created. + + + Expected + TIME expected value + TIME + 32 + + + Actual + TIME actual value + TIME + 32 + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + + AssertEquals_TIME_OF_DAY + + Asserts that two TIME_OF_DAYs are equal. If they are not, an assertion error is created. + + + Expected + TIME_OF_DAY expected value + TIME_OF_DAY + 32 + + + Actual + TIME_OF_DAY actual value + TIME_OF_DAY + 32 + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + + AssertEquals_BYTE + + Asserts that two BYTEs are equal. If they are not, an assertion error is created. + + + Expected + BYTE expected value + BYTE + 8 + + + Actual + BYTE actual value + BYTE + 8 + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + + GetNumberOfFailedTests + UINT + 16 + + Counter + UINT + 16 + + + FailedTestsCount + UINT + 16 + + + NumberOfTestsToAnalyse + UINT (UINT#1..GVL_Param_TcUnit.MaxNumberOfTestSuites) + 16 + + + NumberOfTestOverArrayLimit + UINT + 16 + + + + AssertEquals_DATE_AND_TIME + + Asserts that two DATE_AND_TIMEs are equal. If they are not, an assertion error is created. + + + Expected + DATE_AND_TIME expected value + DATE_AND_TIME + 32 + + + Actual + DATE_AND_TIME actual value + DATE_AND_TIME + 32 + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + + GetTestByPosition + This method returns the test at the n'th position, ranging from 1.. NumberOfTests + FB_Test + 4224 + + Position + UINT (1..GVL_Param_TcUnit.MaxNumberOfTestsForEachTestSuite) + 16 + + + + AssertArrayEquals_BOOL + + Asserts that two BOOL arrays are equal. If they are not, an assertion error is created. + + + Expecteds + BOOL array with expected values + BOOL + 64 + + + variable_length_array + + + Dimensions + 1 + + + + + Actuals + BOOL array with actual values + BOOL + 64 + + + variable_length_array + + + Dimensions + 1 + + + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + Equals + BOOL + 8 + + + SizeEquals + BOOL + 8 + + + Index + DINT + 32 + + + ExpectedString + T_MaxString + 2048 + + + ActualString + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + TestInstancePath + T_MaxString + 2048 + + + SizeOfExpecteds + DINT + 32 + + + SizeOfActuals + DINT + 32 + + + ExpectedsIndex + DINT + 32 + + + ActualsIndex + DINT + 32 + + + + AssertArrayEquals_BYTE + + Asserts that two BYTE arrays are equal. If they are not, an assertion error is created. + + + Expecteds + BYTE array with expected values + BYTE + 64 + + + variable_length_array + + + Dimensions + 1 + + + + + Actuals + BYTE array with actual values + BYTE + 64 + + + variable_length_array + + + Dimensions + 1 + + + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + Equals + BOOL + 8 + + + SizeEquals + BOOL + 8 + + + Index + DINT + 32 + + + ExpectedString + T_MaxString + 2048 + + + ActualString + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + TestInstancePath + T_MaxString + 2048 + + + SizeOfExpecteds + DINT + 32 + + + SizeOfActuals + DINT + 32 + + + ExpectedByteString + STRING(80) + 648 + + + ActualByteString + STRING(80) + 648 + + + ExpectedsIndex + DINT + 32 + + + ActualsIndex + DINT + 32 + + + + AssertEquals_DATE + + Asserts that two DATEs are equal. If they are not, an assertion error is created. + + + Expected + DATE expected value + DATE + 32 + + + Actual + DATE actual value + DATE + 32 + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + + AssertEquals_WORD + + Asserts that two WORDs are equal. If they are not, an assertion error is created. + + + Expected + WORD expected value + WORD + 16 + + + Actual + WORD actual value + WORD + 16 + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + + AssertArrayEquals_LINT + + Asserts that two LINT arrays are equal. If they are not, an assertion error is created. + + + Expecteds + LINT array with expected values + LINT + 64 + + + variable_length_array + + + Dimensions + 1 + + + + + Actuals + LINT array with actual values + LINT + 64 + + + variable_length_array + + + Dimensions + 1 + + + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + Equals + BOOL + 8 + + + SizeEquals + BOOL + 8 + + + Index + DINT + 32 + + + ExpectedString + T_MaxString + 2048 + + + ActualString + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + TestInstancePath + T_MaxString + 2048 + + + SizeOfExpecteds + DINT + 32 + + + SizeOfActuals + DINT + 32 + + + ExpectedsIndex + DINT + 32 + + + ActualsIndex + DINT + 32 + + + + AssertEquals_LTIME + + Asserts that two LTIMEs are equal. If they are not, an assertion error is created. + + + Expected + LTIME expected value + LTIME + 64 + + + Actual + LTIME actual value + LTIME + 64 + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + + AssertArrayEquals_UINT + + Asserts that two UINT arrays are equal. If they are not, an assertion error is created. + + + Expecteds + UINT array with expected values + UINT + 64 + + + variable_length_array + + + Dimensions + 1 + + + + + Actuals + UINT array with actual values + UINT + 64 + + + variable_length_array + + + Dimensions + 1 + + + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + Equals + BOOL + 8 + + + SizeEquals + BOOL + 8 + + + Index + DINT + 32 + + + ExpectedString + T_MaxString + 2048 + + + ActualString + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + TestInstancePath + T_MaxString + 2048 + + + SizeOfExpecteds + DINT + 32 + + + SizeOfActuals + DINT + 32 + + + ExpectedsIndex + DINT + 32 + + + ActualsIndex + DINT + 32 + + + + AssertEquals_LREAL + + Asserts that two LREALs are equal to within a positive delta. If they are not, an assertion error is created. + + + Expected + LREAL expected value + LREAL + 64 + + + Actual + LREAL actual value + LREAL + 64 + + + Delta + The maximum delta between the absolute value of expected and actual for which both numbers are still considered equal + LREAL + 64 + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + + AssertArrayEquals_LWORD + + Asserts that two LWORD arrays are equal. If they are not, an assertion error is created. + + + Expecteds + LWORD array with expected values + LWORD + 64 + + + variable_length_array + + + Dimensions + 1 + + + + + Actuals + LWORD array with actual values + LWORD + 64 + + + variable_length_array + + + Dimensions + 1 + + + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + Equals + BOOL + 8 + + + SizeEquals + BOOL + 8 + + + Index + DINT + 32 + + + ExpectedString + T_MaxString + 2048 + + + ActualString + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + TestInstancePath + T_MaxString + 2048 + + + SizeOfExpecteds + DINT + 32 + + + SizeOfActuals + DINT + 32 + + + ExpectedLWordString + STRING(80) + 648 + + + ActualLWordString + STRING(80) + 648 + + + ExpectedsIndex + DINT + 32 + + + ActualsIndex + DINT + 32 + + + + AssertEquals + + Asserts that two objects (of any type) are equal. If they are not, an assertion error is created. + For REAL and LREAL it's recommended to use the AssertEquals_REAL or AssertEquals_LREAL respectively + as these give the possibility to specify a delta between the expected and actual value. + + + Expected + Expected value + AnyType + 128 + + + anytypeclass + ANY + + + + + Actual + The value to check against expected + AnyType + 128 + + + anytypeclass + ANY + + + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + Count + DINT + 32 + + + ExpectedDataString + T_MaxString + 2048 + + + ActualDataString + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + boolExpected + BOOL + 8 + + + boolActual + BOOL + 8 + + + byteExpected + BYTE + 8 + + + byteActual + BYTE + 8 + + + dateExpected + DATE + 32 + + + dateActual + DATE + 32 + + + dateAndTimeExpected + DATE_AND_TIME + 32 + + + dateAndTimeActual + DATE_AND_TIME + 32 + + + dintExpected + DINT + 32 + + + dintActual + DINT + 32 + + + dwordExpected + DWORD + 32 + + + dwordActual + DWORD + 32 + + + intExpected + INT + 16 + + + intActual + INT + 16 + + + lintExpected + LINT + 64 + + + lintActual + LINT + 64 + + + lrealExpected + LREAL + 64 + + + lrealActual + LREAL + 64 + + + ltimeExpected + LTIME + 64 + + + ltimeActual + LTIME + 64 + + + lwordExpected + LWORD + 64 + + + lwordActual + LWORD + 64 + + + realExpected + REAL + 32 + + + realActual + REAL + 32 + + + sintExpected + SINT + 8 + + + sintActual + SINT + 8 + + + stringExpected + T_MaxString + 2048 + + + stringActual + T_MaxString + 2048 + + + wstringExpected + WSTRING(255) + 4096 + + + wstringActual + WSTRING(255) + 4096 + + + timeExpected + TIME + 32 + + + timeActual + TIME + 32 + + + timeOfDayExpected + TIME_OF_DAY + 32 + + + timeOfDayActual + TIME_OF_DAY + 32 + + + udintExpected + UDINT + 32 + + + udintActual + UDINT + 32 + + + uintExpected + UINT + 16 + + + uintActual + UINT + 16 + + + ulintExpected + ULINT + 64 + + + ulintActual + ULINT + 64 + + + usintExpected + USINT + 8 + + + usintActual + USINT + 8 + + + wordExpected + WORD + 16 + + + wordActual + WORD + 16 + + + DataTypesNotEquals + The data type of the two ANY input parameters are not equal + BOOL + 8 + + + DataSizeNotEquals + The data size of the two ANY input parameters are not equal + BOOL + 8 + + + DataContentNotEquals + The data content of the two ANY input parameters are not equal + BOOL + 8 + + + IteratorCounter + DINT + 32 + + + + hasanytype + + + + + AssertFalse + + Asserts that a condition is false. If it is not, an assertion error is created. + + + Condition + Condition to be checked + BOOL + 8 + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + + AssertEquals_SINT + + Asserts that two SINTs are equal. If they are not, an assertion error is created. + + + Expected + SINT expected value + SINT + 8 + + + Actual + SINT actual value + SINT + 8 + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + + AssertArray2dEquals_LREAL + + Asserts that two LREAL 2D-arrays are equal to within a positive delta. If they are not, an assertion error is created. + + + Expecteds + LREAL 2d array with expected values + LREAL + 64 + + + variable_length_array + + + Dimensions + 2 + + + + + Actuals + LREAL 2d array with actual values + LREAL + 64 + + + variable_length_array + + + Dimensions + 2 + + + + + Delta + The maximum delta between the value of expected and actual for which both numbers are still considered equal, proportional to the expected value in that array cell + LREAL + 64 + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + Equals + BOOL + 8 + + + SizeEquals + BOOL + 8 + + + ExpectedString + T_MaxString + 2048 + + + ActualString + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + TestInstancePath + T_MaxString + 2048 + + + DimensionIndex + Index when looping through Dimensions + USINT + 8 + + + LowerBoundExpecteds + Lower bounds of Expecteds array in each dimension + DINT + + 1 + 2 + + 64 + + + UpperBoundExpecteds + Upper bounds of Expecteds array in each dimension + DINT + + 1 + 2 + + 64 + + + LowerBoundActuals + Lower bounds of Actuals array in each dimension + DINT + + 1 + 2 + + 64 + + + UpperBoundActuals + Upper bounds of Actuals array in each dimension + DINT + + 1 + 2 + + 64 + + + SizeOfExpecteds + Size of Expecteds array in each dimension + DINT + + 1 + 2 + + 64 + + + SizeOfActuals + Size of Actuals array in each dimension + DINT + + 1 + 2 + + 64 + + + Offset + Current Array index offsets from Lower Bound in each dimension + DINT + + 1 + 2 + + 64 + + + ExpectedArrayIndex + Array of current Expected array indexes when looping through arrays + DINT + + 1 + 2 + + 64 + + + ActualArrayIndex + Array of current Actual array indexes when looping through arrays + DINT + + 1 + 2 + + 64 + + + Expected + Single expected value + LREAL + 64 + + + Actual + Single actual value + LREAL + 64 + + + __Index__0 + DINT + 32 + + + + AssertEquals_ULINT + + Asserts that two ULINTs are equal. If they are not, an assertion error is created. + + + Expected + ULINT expected value + ULINT + 64 + + + Actual + ULINT actual value + ULINT + 64 + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + + AssertEquals_BOOL + + Asserts that two BOOLs are equal. If they are not, an assertion error is created. + + + Expected + BOOL expected value + BOOL + 8 + + + Actual + BOOL actual value + BOOL + 8 + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + TestInstancePath + T_MaxString + 2048 + + + + AssertEquals_USINT + + Asserts that two USINTs are equal. If they are not, an assertion error is created. + + + Expected + USINT expected value + USINT + 8 + + + Actual + USINT actual value + USINT + 8 + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + TestInstancePath + T_MaxString + 2048 + + + + AssertEquals_LWORD + + Asserts that two LWORDs are equal. If they are not, an assertion error is created. + + + Expected + LWORD expected value + LWORD + 64 + + + Actual + LWORD actual value + LWORD + 64 + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + + AssertArrayEquals_USINT + + Asserts that two USINT arrays are equal. If they are not, an assertion error is created. + + + Expecteds + USINT array with expected values + USINT + 64 + + + variable_length_array + + + Dimensions + 1 + + + + + Actuals + USINT array with actual values + USINT + 64 + + + variable_length_array + + + Dimensions + 1 + + + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + Equals + BOOL + 8 + + + SizeEquals + BOOL + 8 + + + Index + DINT + 32 + + + ExpectedString + T_MaxString + 2048 + + + ActualString + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + TestInstancePath + T_MaxString + 2048 + + + SizeOfExpecteds + DINT + 32 + + + SizeOfActuals + DINT + 32 + + + ExpectedsIndex + DINT + 32 + + + ActualsIndex + DINT + 32 + + + + SetHasStartedRunning + + + SetTestFailed + + AssertionType + E_AssertionType + 8 + + + AssertionMessage + T_MaxString + 2048 + + + IteratorCounter + UINT + 16 + + + NumberOfTestsToAnalyse + UINT (0..GVL_Param_TcUnit.MaxNumberOfTestsForEachTestSuite) + 16 + + + + GetInstancePath + T_MaxString + 2048 + + + GetTestOrderNumber + UINT (0..GVL_Param_TcUnit.MaxNumberOfTestsForEachTestSuite) + 16 + + TestName + T_MaxString + 2048 + + + IteratorCounter + UINT + 16 + + + NumberOfTestsToAnalyse + UINT (UINT#1..GVL_Param_TcUnit.MaxNumberOfTestSuites) + 16 + + + + GetNumberOfTests + UINT + 16 + + + AssertArrayEquals_DWORD + + Asserts that two DWORD arrays are equal. If they are not, an assertion error is created. + + + Expecteds + DWORD array with expected values + DWORD + 64 + + + variable_length_array + + + Dimensions + 1 + + + + + Actuals + DWORD array with actual values + DWORD + 64 + + + variable_length_array + + + Dimensions + 1 + + + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + Equals + BOOL + 8 + + + SizeEquals + BOOL + 8 + + + Index + DINT + 32 + + + ExpectedString + T_MaxString + 2048 + + + ActualString + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + TestInstancePath + T_MaxString + 2048 + + + SizeOfExpecteds + DINT + 32 + + + SizeOfActuals + DINT + 32 + + + ExpectedDWordString + STRING(80) + 648 + + + ActualDWordString + STRING(80) + 648 + + + ExpectedsIndex + DINT + 32 + + + ActualsIndex + DINT + 32 + + + + GetHasStartedRunning + BOOL + 8 + + + AssertArrayEquals_LREAL + + Asserts that two LREAL arrays are equal to within a positive delta. If they are not, an assertion error is created. + + + Expecteds + LREAL array with expected values + LREAL + 64 + + + variable_length_array + + + Dimensions + 1 + + + + + Actuals + LREAL array with actual values + LREAL + 64 + + + variable_length_array + + + Dimensions + 1 + + + + + Delta + The maximum delta between the value of expected and actual for which both numbers are still considered equal, proportional to the expected value in that array cell + LREAL + 64 + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + Equals + BOOL + 8 + + + SizeEquals + BOOL + 8 + + + Index + DINT + 32 + + + ExpectedString + T_MaxString + 2048 + + + ActualString + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + TestInstancePath + T_MaxString + 2048 + + + SizeOfExpecteds + DINT + 32 + + + SizeOfActuals + DINT + 32 + + + ExpectedsIndex + DINT + 32 + + + ActualsIndex + DINT + 32 + + + + AssertEquals_WSTRING + + Asserts that two WSTRINGs are equal. If they are not, an assertion error is created. + + + Expected + WSTRING expected value + WSTRING(255) + 4096 + + + Actual + WSTRING actual value + WSTRING(255) + 4096 + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + + IsTestFinished + BOOL + 8 + + TestName + T_MaxString + 2048 + + + IteratorCounter + UINT + 16 + + + NumberOfTestsToAnalyse + UINT (0..GVL_Param_TcUnit.MaxNumberOfTestsForEachTestSuite) + 16 + + + + AssertArrayEquals_REAL + + Asserts that two REAL arrays are equal to within a positive delta. If they are not, an assertion error is created. + + + Expecteds + REAL array with expected values + REAL + 64 + + + variable_length_array + + + Dimensions + 1 + + + + + Actuals + REAL array with actual values + REAL + 64 + + + variable_length_array + + + Dimensions + 1 + + + + + Delta + The maximum delta between the value of expected and actual for which both numbers are still considered equal, proportional to the expected value in that array cell + REAL + 32 + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + Equals + BOOL + 8 + + + SizeEquals + BOOL + 8 + + + Index + DINT + 32 + + + ExpectedString + T_MaxString + 2048 + + + ActualString + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + TestInstancePath + T_MaxString + 2048 + + + SizeOfExpecteds + DINT + 32 + + + SizeOfActuals + DINT + 32 + + + ExpectedsIndex + DINT + 32 + + + ActualsIndex + DINT + 32 + + + + AssertEquals_DINT + + Asserts that two DINTs are equal. If they are not, an assertion error is created. + + + Expected + DINT expected value + DINT + 32 + + + Actual + DINT actual value + DINT + 32 + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + + AssertArrayEquals_DINT + + Asserts that two DINT arrays are equal. If they are not, an assertion error is created. + + + Expecteds + DINT array with expected values + DINT + 64 + + + variable_length_array + + + Dimensions + 1 + + + + + Actuals + DINT array with actual values + DINT + 64 + + + variable_length_array + + + Dimensions + 1 + + + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + Equals + BOOL + 8 + + + SizeEquals + BOOL + 8 + + + Index + DINT + 32 + + + ExpectedString + T_MaxString + 2048 + + + ActualString + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + TestInstancePath + T_MaxString + 2048 + + + SizeOfExpecteds + DINT + 32 + + + SizeOfActuals + DINT + 32 + + + ExpectedsIndex + DINT + 32 + + + ActualsIndex + DINT + 32 + + + + AssertEquals_STRING + + Asserts that two STRINGs are equal. If they are not, an assertion error is created. + + + Expected + STRING expected value + T_MaxString + 2048 + + + Actual + STRING actual value + T_MaxString + 2048 + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + + SetTestFinished + Marks the test as finished in this testsuite. + Returns TRUE if test was found, and FALSE if a test with this name was not found in this testsuite + + BOOL + 8 + + TestName + T_MaxString + 2048 + + + IteratorCounter + UINT + 16 + + + NumberOfTestsToAnalyse + UINT (0..GVL_Param_TcUnit.MaxNumberOfTestsForEachTestSuite) + 16 + + + + AreAllTestsFinished + BOOL + 8 + + Counter + UINT + 16 + + + GetCurTaskIndex + GETCURTASKINDEX + 256 + + + NumberOfTestsToAnalyse + UINT (UINT#1..GVL_Param_TcUnit.MaxNumberOfTestSuites) + 16 + + + + AssertArrayEquals_WORD + + Asserts that two WORD arrays are equal. If they are not, an assertion error is created. + + + Expecteds + WORD array with expected values + WORD + 64 + + + variable_length_array + + + Dimensions + 1 + + + + + Actuals + WORD array with actual values + WORD + 64 + + + variable_length_array + + + Dimensions + 1 + + + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + Equals + BOOL + 8 + + + SizeEquals + BOOL + 8 + + + Index + DINT + 32 + + + ExpectedString + T_MaxString + 2048 + + + ActualString + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + TestInstancePath + T_MaxString + 2048 + + + SizeOfExpecteds + DINT + 32 + + + SizeOfActuals + DINT + 32 + + + ExpectedDWordString + STRING(80) + 648 + + + ActualDWordString + STRING(80) + 648 + + + ExpectedsIndex + DINT + 32 + + + ActualsIndex + DINT + 32 + + + + AssertArray3dEquals_LREAL + + Asserts that two LREAL 3D-arrays are equal to within a positive delta. If they are not, an assertion error is created. + + + Expecteds + LREAL 3d array with expected values + LREAL + 64 + + + variable_length_array + + + Dimensions + 3 + + + + + Actuals + LREAL 3d array with actual values + LREAL + 64 + + + variable_length_array + + + Dimensions + 3 + + + + + Delta + The maximum delta between the value of expected and actual for which both numbers are still considered equal, proportional to the expected value in that array cell + LREAL + 64 + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + Equals + BOOL + 8 + + + SizeEquals + BOOL + 8 + + + ExpectedString + T_MaxString + 2048 + + + ActualString + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + TestInstancePath + T_MaxString + 2048 + + + DimensionIndex + Index when looping through Dimensions + USINT + 8 + + + LowerBoundExpecteds + Lower bounds of Expecteds array in each dimension + DINT + + 1 + 3 + + 96 + + + UpperBoundExpecteds + Upper bounds of Expecteds array in each dimension + DINT + + 1 + 3 + + 96 + + + LowerBoundActuals + Lower bounds of Actuals array in each dimension + DINT + + 1 + 3 + + 96 + + + UpperBoundActuals + Upper bounds of Actuals array in each dimension + DINT + + 1 + 3 + + 96 + + + SizeOfExpecteds + Size of Expecteds array in each dimension + DINT + + 1 + 3 + + 96 + + + SizeOfActuals + Size of Actuals array in each dimension + DINT + + 1 + 3 + + 96 + + + Offset + Current Array index offsets from Lower Bound in each dimension + DINT + + 1 + 3 + + 96 + + + ExpectedArrayIndex + Array of current Expected array indexes when looping through arrays + DINT + + 1 + 3 + + 96 + + + ActualArrayIndex + Array of current Actual array indexes when looping through arrays + DINT + + 1 + 3 + + 96 + + + Expected + Single expected value + LREAL + 64 + + + Actual + Single actual value + LREAL + 64 + + + __Index__0 + DINT + 32 + + + + AssertArrayEquals_INT + + Asserts that two INT arrays are equal. If they are not, an assertion error is created. + + + Expecteds + INT array with expected values + INT + 64 + + + variable_length_array + + + Dimensions + 1 + + + + + Actuals + INT array with actual values + INT + 64 + + + variable_length_array + + + Dimensions + 1 + + + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + Equals + BOOL + 8 + + + SizeEquals + BOOL + 8 + + + Index + DINT + 32 + + + ExpectedString + T_MaxString + 2048 + + + ActualString + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + TestInstancePath + T_MaxString + 2048 + + + SizeOfExpecteds + DINT + 32 + + + SizeOfActuals + DINT + 32 + + + ExpectedsIndex + DINT + 32 + + + ActualsIndex + DINT + 32 + + + + CalculateAndSetNumberOfAssertsForTest + + TestName + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + TotalNumberOfAsserts + UINT + 16 + + + NumberOfAsserts + UINT + 16 + + + NumberOfArrayAsserts + UINT + 16 + + + IteratorCounter + UINT + 16 + + + + GetNumberOfSkippedTests + UINT + 16 + + Counter + UINT + 16 + + + SkippedTestsCount + UINT + 16 + + + NumberOfTestsToAnalyse + UINT (UINT#1..GVL_Param_TcUnit.MaxNumberOfTestSuites) + 16 + + + + AssertEquals_DWORD + + Asserts that two DWORDs are equal. If they are not, an assertion error is created. + + + Expected + DWORD expected value + DWORD + 32 + + + Actual + DWORD actual value + DWORD + 32 + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + + AssertTrue + + Asserts that a condition is true. If it is not, an assertion error is created. + + + Condition + Condition to be checked + BOOL + 8 + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + + AssertEquals_INT + + Asserts that two INTs are equal. If they are not, an assertion error is created. + + + Expected + INT expected value + INT + 16 + + + Actual + INT actual value + INT + 16 + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + + AssertEquals_UINT + + Asserts that two UINTs are equal. If they are not, an assertion error is created. + + + Expected + UINT expected value + UINT + 16 + + + Actual + UINT actual value + UINT + 16 + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + + AssertArray2dEquals_REAL + + Asserts that two REAL 2D-arrays are equal to within a positive delta. If they are not, an assertion error is created. + + + Expecteds + REAL 2d array with expected values + REAL + 64 + + + variable_length_array + + + Dimensions + 2 + + + + + Actuals + REAL 2d array with actual values + REAL + 64 + + + variable_length_array + + + Dimensions + 2 + + + + + Delta + The maximum delta between the value of expected and actual for which both numbers are still considered equal, proportional to the expected value in that array cell + REAL + 32 + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + Equals + BOOL + 8 + + + SizeEquals + BOOL + 8 + + + ExpectedString + T_MaxString + 2048 + + + ActualString + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + TestInstancePath + T_MaxString + 2048 + + + DimensionIndex + Index when looping through Dimensions + USINT + 8 + + + LowerBoundExpecteds + Lower bounds of Expecteds array in each dimension + DINT + + 1 + 2 + + 64 + + + UpperBoundExpecteds + Upper bounds of Expecteds array in each dimension + DINT + + 1 + 2 + + 64 + + + LowerBoundActuals + Lower bounds of Actuals array in each dimension + DINT + + 1 + 2 + + 64 + + + UpperBoundActuals + Upper bounds of Actuals array in each dimension + DINT + + 1 + 2 + + 64 + + + SizeOfExpecteds + Size of Expecteds array in each dimension + DINT + + 1 + 2 + + 64 + + + SizeOfActuals + Size of Actuals array in each dimension + DINT + + 1 + 2 + + 64 + + + Offset + Current Array index offsets from Lower Bound in each dimension + DINT + + 1 + 2 + + 64 + + + ExpectedArrayIndex + Array of current Expected array indexes when looping through arrays + DINT + + 1 + 2 + + 64 + + + ActualArrayIndex + Array of current Actual array indexes when looping through arrays + DINT + + 1 + 2 + + 64 + + + Expected + Single expected value + REAL + 32 + + + Actual + Single actual value + REAL + 32 + + + __Index__0 + DINT + 32 + + + + AddTest + + TestName + T_MaxString + 2048 + + + IsTestOrdered + BOOL + 8 + + + IteratorCounter + UINT + 16 + + + ErrorMessage + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + FunctionCallResult + DINT + 32 + + + CycleCount + UDINT + 32 + + + TestWithThisNameAlreadyExists + BOOL + 8 + + + LowerCasedTestName + T_MaxString + 2048 + + + TrimmedTestName + T_MaxString + 2048 + + + IgnoreCurrentTestCase + BOOL + 8 + + + NumberOfTestsToAnalyse + UINT (UINT#1..GVL_Param_TcUnit.MaxNumberOfTestSuites) + 16 + + + + AssertArray3dEquals_REAL + + Asserts that two REAL 3D-arrays are equal to within a positive delta. If they are not, an assertion error is created. + + + Expecteds + REAL 3d array with expected values + REAL + 64 + + + variable_length_array + + + Dimensions + 3 + + + + + Actuals + REAL 3d array with actual values + REAL + 64 + + + variable_length_array + + + Dimensions + 3 + + + + + Delta + The maximum delta between the value of expected and actual for which both numbers are still considered equal, proportional to the expected value in that array cell + REAL + 32 + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + Equals + BOOL + 8 + + + SizeEquals + BOOL + 8 + + + ExpectedString + T_MaxString + 2048 + + + ActualString + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + TestInstancePath + T_MaxString + 2048 + + + DimensionIndex + Index when looping through Dimensions + USINT + 8 + + + LowerBoundExpecteds + Lower bounds of Expecteds array in each dimension + DINT + + 1 + 3 + + 96 + + + UpperBoundExpecteds + Upper bounds of Expecteds array in each dimension + DINT + + 1 + 3 + + 96 + + + LowerBoundActuals + Lower bounds of Actuals array in each dimension + DINT + + 1 + 3 + + 96 + + + UpperBoundActuals + Upper bounds of Actuals array in each dimension + DINT + + 1 + 3 + + 96 + + + SizeOfExpecteds + Size of Expecteds array in each dimension + DINT + + 1 + 3 + + 96 + + + SizeOfActuals + Size of Actuals array in each dimension + DINT + + 1 + 3 + + 96 + + + Offset + Current Array index offsets from Lower Bound in each dimension + DINT + + 1 + 3 + + 96 + + + ExpectedArrayIndex + Array of current Expected array indexes when looping through arrays + DINT + + 1 + 3 + + 96 + + + ActualArrayIndex + Array of current Actual array indexes when looping through arrays + DINT + + 1 + 3 + + 96 + + + Expected + Single expected value + REAL + 32 + + + Actual + Single actual value + REAL + 32 + + + ExpectedValueString + T_MaxString + 2048 + + + ActualValueString + T_MaxString + 2048 + + + FormatString + String formatter for output messages + FB_FormatString + 8576 + + + __Index__0 + DINT + 32 + + + + AddTestNameToInstancePath + T_MaxString + 2048 + + TestInstancePath + T_MaxString + 2048 + + + CompleteTestInstancePath + T_MaxString + 2048 + + + + AssertEquals_UDINT + + Asserts that two UDINTs are equal. If they are not, an assertion error is created. + + + Expected + UDINT expected value + UDINT + 32 + + + Actual + UDINT actual value + UDINT + 32 + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + + AssertEquals_REAL + + Asserts that two REALs are equal to within a positive delta. If they are not, an assertion error is created. + + + Expected + REAL expected value + REAL + 32 + + + Actual + REAL actual value + REAL + 32 + + + Delta + The maximum delta between the absolute value of expected and actual for which both numbers are still considered equal + REAL + 32 + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + TestInstancePath + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + + AssertArrayEquals_SINT + + Asserts that two SINT arrays are equal. If they are not, an assertion error is created. + + + Expecteds + SINT array with expected values + SINT + 64 + + + variable_length_array + + + Dimensions + 1 + + + + + Actuals + SINT array with actual values + SINT + 64 + + + variable_length_array + + + Dimensions + 1 + + + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + Equals + BOOL + 8 + + + SizeEquals + BOOL + 8 + + + Index + DINT + 32 + + + ExpectedString + T_MaxString + 2048 + + + ActualString + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + TestInstancePath + T_MaxString + 2048 + + + SizeOfExpecteds + DINT + 32 + + + SizeOfActuals + DINT + 32 + + + ExpectedsIndex + DINT + 32 + + + ActualsIndex + DINT + 32 + + + + AssertArrayEquals_UDINT + + Asserts that two UDINT arrays are equal. If they are not, an assertion error is created. + + + Expecteds + UDINT array with expected values + UDINT + 64 + + + variable_length_array + + + Dimensions + 1 + + + + + Actuals + UDINT array with actual values + UDINT + 64 + + + variable_length_array + + + Dimensions + 1 + + + + + Message + The identifying message for the assertion error + T_MaxString + 2048 + + + Equals + BOOL + 8 + + + SizeEquals + BOOL + 8 + + + Index + DINT + 32 + + + ExpectedString + T_MaxString + 2048 + + + ActualString + T_MaxString + 2048 + + + AlreadyReported + BOOL + 8 + + + TestInstancePath + T_MaxString + 2048 + + + SizeOfExpecteds + DINT + 32 + + + SizeOfActuals + DINT + 32 + + + ExpectedsIndex + DINT + 32 + + + ActualsIndex + DINT + 32 + + + + + PouType + FunctionBlock + + + call_after_init + + + reflection + + + + + ST_AdsLogStringMessage + 4128 + + MsgCtrlMask + DWORD + 32 + 0 + + + MsgFmtStr + T_MaxString + 2048 + 32 + + + StrArg + T_MaxString + 2048 + 2080 + + + + pack_mode + 1 + + + + + FB_AdsLogStringMessageFifoQueue + This function block is responsible for making sure that the ADSLOGSTR-messages to the ADS-router are transmitted + cyclically and not in a burst. The reason this is necessary is because that if too many messages are sent at the + same time some get lost and are never printed to the error list output + + 8321152 + + ArrayBuffer + BYTE + + 0 + 1040000 + + 8320000 + 64 + + + MemRingBuffer + FB_MemRingBuffer + 768 + 8320064 + + + TimerBetweenMessages + TON + 256 + 8320832 + + + .IN + true + + + .PT + T#10MS + + + + + MEM_RING_BUFFER_INTERNAL_USE_PER_DATA_RECORD + USINT + 8 + 8321088 + + 4 + + + + TIME_BETWEEN_MESSAGES + TIME + 32 + 8321120 + + T#10MS + + + + GetLogCount + UDINT + 32 + + + WriteLog + Writes a new data set into the ring buffer + + MsgCtrlMask + DWORD + 32 + + + MsgFmtStr + T_MaxString + 2048 + + + StrArg + T_MaxString + 2048 + + + Error + Buffer overflow + BOOL + 8 + + + ItemType + Output + + + + + AdsLogStringMessage + ST_AdsLogStringMessage + 4128 + + + + GetAndRemoveLogFromQueue + Reads and removes the oldest message + + AdsLogStringMessage + ST_AdsLogStringMessage + 4128 + + + ItemType + Output + + + + + Error + Buffer empty + BOOL + 8 + + + ItemType + Output + + + + + + + PouType + FunctionBlock + + + + + ST_CTRL_CYCLE_TIME_INTERPRETATION + 128 + + bInterpretCycleTimeAsTicks + BOOL + 8 + 0 + + + fBaseTime + LREAL + 64 + 64 + + + + hide + + + + + TcEventConfirmationState + 16 + INT + + NotSupported + 0 + + + NotRequired + 1 + + + WaitForConfirmation + 2 + + + Confirmed + 3 + + + Reset + 4 + + + + plcAttribute_qualified_only + + + plcAttribute_strict + + + + + + + + ITcAlarm + 32 + ITcEvent + + SetJsonAttribute + HRESULT + 32 + + sJsonAttribute + PCCH + 32 + + + + GetArguments + HRESULT + 32 + + pipArguments + ITcArguments + 32 + + + + GetIsRaised + HRESULT + 32 + + bIsRaised + BOOL32 + 32 + + + + Raise + HRESULT + 32 + + timeStamp + ULINT + 64 + + + + Clear + HRESULT + 32 + + timeStamp + ULINT + 64 + + + bResetConfirmation + BOOL32 + 32 + + + + GetConfirmationState + HRESULT + 32 + + state + TcEventConfirmationState + 32 + + + + Confirm + HRESULT + 32 + + timeStamp + ULINT + 64 + + + + + ITcAlarm2 + 32 + ITcAlarm + + GetTimeRaised + HRESULT + 32 + + timeStamp + ULINT + 32 + + + + GetTimeConfirmed + HRESULT + 32 + + timeStamp + ULINT + 32 + + + + GetTimeCleared + HRESULT + 32 + + timeStamp + ULINT + 32 + + + + + FB_TcEvent + 4288 + FB_TcEventBase + I_TcEventBase + + nTimestamp + ULINT + 64 + 163733696 + + + TcDisplayTypeGUID + 18071995-0000-0000-0000-000000000046 + + + + + __getnTimestamp + ULINT + 64 + + nTimestamp + ULINT + 64 + + + + property + + + TcDisplayTypeGUID + 18071995-0000-0000-0000-000000000046 + + + + + Release + HRESULT + 32 + + + Init + + ipEvent + ITcEvent + 64 + + + nTimestamp + ULINT + 64 + + + ipMessage + ITcMessage2 + 64 + + + ipAlarm + ITcAlarm2 + 64 + + + + conditionalshow + + + obsolete + Init is obsolete, use Init2 instead! + + + + + __getipEvent + ITcEvent + 64 + + ipEvent + ITcEvent + 64 + + + + property + + + conditionalshow + + + + + Init2 + + ipEvent + ITcEvent + 64 + + + nTimestamp + ULINT + 64 + + + ipArguments + ITcArguments + 64 + + + ipMessage + ITcMessage + 64 + + + ipAlarm + ITcAlarm + 64 + + + ipSourceInfo + ITcSourceInfo + 64 + + + + conditionalshow + + + + + + PouType + FunctionBlock + + + reflection + + + conditionalshow_all_locals + + + no_explicit_call + do not call this POU directly + + + + + I_Listener + 64 + PVOID + + OnAlarmCleared + + fbEvent + FB_TcEvent + 64 + + + + OnAlarmConfirmed + + fbEvent + FB_TcEvent + 64 + + + + OnAlarmDisposed + + fbEvent + FB_TcEvent + 64 + + + + OnAlarmRaised + + fbEvent + FB_TcEvent + 64 + + + + OnMessageSent + + fbEvent + FB_TcEvent + 64 + + + + + FB_ListenerWrapper + 4672 + ITcMessageListener + ITcAlarmListener + + TcAddRef + UDINT + 32 + + + c++_compatible + + + pack_mode + 4 + + + show + + + minimal_input_size + 4 + + + + + OnAlarmRaised + HRESULT + 32 + + ipEvent + ITcEvent + 64 + + + ipAlarm + ITcAlarm2 + 64 + + + nTimeStamp + ULINT + 64 + + + + show + + + c++_compatible + + + minimal_input_size + 4 + + + pack_mode + 4 + + + + + Unsubscribe + HRESULT + 32 + + hr + HRESULT + 32 + + + + OnAlarmCleared + HRESULT + 32 + + ipEvent + ITcEvent + 64 + + + ipAlarm + ITcAlarm2 + 64 + + + nTimeStamp + ULINT + 64 + + + + show + + + c++_compatible + + + minimal_input_size + 4 + + + pack_mode + 4 + + + + + TcQueryInterface + HRESULT + 32 + + iid + IID + 64 + + + pipItf + PVOID + 64 + + + ipMessageListener + ITcMessageListener + 64 + + + ipAlarmListener + ITcAlarmListener + 64 + + + + c++_compatible + + + pack_mode + 4 + + + show + + + minimal_input_size + 4 + + + + + OnMessageSent + HRESULT + 32 + + ipEvent + ITcEvent + 64 + + + ipMessage2 + ITcMessage2 + 64 + + + nTimeStamp + ULINT + 64 + + + + show + + + c++_compatible + + + minimal_input_size + 4 + + + pack_mode + 4 + + + + + Subscribe + HRESULT + 32 + + pipMessageFilterConfig + ITcEventFilterConfig + 64 + + + pipAlarmFilterConfig + ITcEventFilterConfig + 64 + + + hr + HRESULT + 32 + + + + TcRelease + UDINT + 32 + + + c++_compatible + + + pack_mode + 4 + + + show + + + minimal_input_size + 4 + + + + + OnAlarmConfirmed + HRESULT + 32 + + ipEvent + ITcEvent + 64 + + + ipAlarm + ITcAlarm2 + 64 + + + nTimeStamp + ULINT + 64 + + + + show + + + c++_compatible + + + minimal_input_size + 4 + + + pack_mode + 4 + + + + + OnAlarmDisposed + HRESULT + 32 + + ipEvent + ITcEvent + 64 + + + ipAlarm + ITcAlarm2 + 64 + + + nTimeStamp + ULINT + 64 + + + + show + + + c++_compatible + + + minimal_input_size + 4 + + + pack_mode + 4 + + + + + Execute + HRESULT + 32 + + ipListener + I_Listener + 64 + + + hr + HRESULT + 32 + + + + + PouType + FunctionBlock + + + c++_compatible + + + enable_dynamic_creation + + + conditionalshow_all_locals + + + no_explicit_call + do not call this POU directly + + + + + FB_ListenerBase + 192 + I_Listener + + _pListenerWrapper + FB_ListenerWrapper + 64 + 128 + + + OnAlarmRaised + + fbEvent + FB_TcEvent + 64 + + + + Unsubscribe + HRESULT + 32 + + hr + HRESULT + 32 + + + + OnAlarmCleared + + fbEvent + FB_TcEvent + 64 + + + + OnMessageSent + + fbEvent + FB_TcEvent + 64 + + + + Subscribe + HRESULT + 32 + + ipMessageFilterConfig + ITcEventFilterConfig + 64 + + + ipAlarmFilterConfig + ITcEventFilterConfig + 64 + + + hr + HRESULT + 32 + + + + OnAlarmConfirmed + + fbEvent + FB_TcEvent + 64 + + + + OnAlarmDisposed + + fbEvent + FB_TcEvent + 64 + + + + Execute + HRESULT + 32 + + hr + HRESULT + 32 + + + + + PouType + FunctionBlock + + + no_explicit_call + do not call this POU directly + + + + + E_LogEventType + 16 + INT + + ALARMCLEARED + 0 + + + ALARMCONFIRMED + 1 + + + ALARMRAISED + 2 + + + MESSAGESENT + 3 + + + + plcAttribute_qualified_only + + + plcAttribute_strict + + + + + ST_LoggingEventInfo + 86272 + + schema + STRING(80) + Message or Alarm{Cleared,Confirmed,Raised} event information + + Note that elements here do not follow the usual Hungarian notation / + variable-type-prefixing naming convention due to the member names being + used directly in the generation of the JSON document. + 648 + 0 + + twincat-event-0 + + + + plcAttribute_pytmc + pv: Schema + io: i + field: DESC Schema string + + + + + ts + LREAL + 64 + 704 + + + plcAttribute_pytmc + pv: Timestamp + io: i + field: DESC Unix timestamp + + + + + plc + STRING(80) + 648 + 768 + + + plcAttribute_pytmc + pv: Hostname + io: i + field: DESC PLC Hostname + + + + + severity + TcEventSeverity + 16 + 1424 + + + plcAttribute_pytmc + pv: Severity + io: i + field: DESC TcEventSeverity + field: ZRST Verbose + field: ONST Info + field: TWST Warning + field: THST Error + + + + + id + UDINT + 32 + 1440 + + + plcAttribute_pytmc + pv: MessageID + io: i + field: DESC TwinCAT Message ID + + + + + event_class + STRING(80) + 648 + 1472 + + + plcAttribute_pytmc + pv: EventClass + io: i + field: DESC TwinCAT Event class + + + + + msg + STRING(255) + 2048 + 2120 + + + plcAttribute_pytmc + pv: Message + io: i + + + + + source + STRING(255) + This is actually: T_MaxString + which has been expanded due to requirements for pinning global data types. + 2048 + 4168 + + + plcAttribute_pytmc + pv: Source + io: i + + + + + event_type + E_LogEventType + This is actually: STRING(Tc3_EventLogger.ParameterList.cSourceNameSize - 1) + which has been expanded due to requirements for pinning global data types. + 16 + 6224 + + + plcAttribute_pytmc + pv: EventType + io: i + field: DESC The event type + + + + + json + STRING(10000) + 80008 + 6240 + + + plcAttribute_pytmc + pv: MessageJSON + io: i + field: DESC Metadata with the message + + + + + + + + + TcEventType + 16 + INT + + Message + 0 + + + Alarm + 1 + + + + plcAttribute_qualified_only + + + plcAttribute_strict + + + + + TcComparisonOperator + 16 + INT + + EqualTo + 0 + + + NotEqualTo + 1 + + + LessThan + 2 + + + GreaterThan + 3 + + + LessThanOrEqualTo + 4 + + + GreaterThanOrEqualTo + 5 + + + + plcAttribute_qualified_only + + + plcAttribute_strict + + + + + TcEventTimeStampType + 16 + INT + + Raised + 0 + + + Confirmed + 1 + + + Cleared + 2 + + + + plcAttribute_qualified_only + + + plcAttribute_strict + + + + + TcStringComparisonOperator + 16 + INT + + EqualTo + 0 + + + NotEqualTo + 1 + + + Like + 2 + + + IsNull + 3 + + + IsNotNull + 4 + + + + plcAttribute_qualified_only + + + plcAttribute_strict + + + + + TcLogicalOperator + 16 + INT + + And + 0 + + + Or + 1 + + + + plcAttribute_qualified_only + + + plcAttribute_strict + + + + + ITcEventFilter + 32 + ITcUnknown + + Clear + HRESULT + 32 + + + AddEventTypeExpression + HRESULT + 32 + + eType + TcEventType + 16 + + + op + TcComparisonOperator + 16 + + + + AddEventClassExpression + HRESULT + 32 + + eventClass + GUID + 32 + + + op + TcComparisonOperator + 16 + + + + AddEventIdExpression + HRESULT + 32 + + eventId + UDINT + 32 + + + op + TcComparisonOperator + 16 + + + + AddSeverityExpression + HRESULT + 32 + + severity + TcEventSeverity + 16 + + + op + TcComparisonOperator + 16 + + + + AddTimeStampExpression + HRESULT + 32 + + eType + TcEventTimeStampType + 16 + + + timeStamp + ULINT + 64 + + + op + TcComparisonOperator + 16 + + + + AddSourceGuidExpression + HRESULT + 32 + + sourceGuid + GUID + 32 + + + op + TcComparisonOperator + 16 + + + + AddSourceIdExpression + HRESULT + 32 + + sourceId + UDINT + 32 + + + op + TcComparisonOperator + 16 + + + + AddSourceNameExpression + HRESULT + 32 + + sourceName + PCCH + 32 + + + op + TcStringComparisonOperator + 16 + + + + AddJsonAttributeExpression + HRESULT + 32 + + path + PCCH + 32 + + + value + PCCH + 32 + + + op + TcStringComparisonOperator + 16 + + + + AddLogicalOperator + HRESULT + 32 + + eType + TcLogicalOperator + 16 + + + + AddNotOperator + HRESULT + 32 + + + CreateExpressionGroup + HRESULT + 32 + + pipGroup + ITcEventFilter + 32 + + + + AddExpressionGroup + HRESULT + 32 + + ipGroup + ITcEventFilter + 32 + + + + Validate + HRESULT + 32 + + bResult + BOOL + 32 + + + + Clone + HRESULT + 32 + + pipClone + ITcEventFilter + 32 + + + + + ITcEventListener + 32 + ITcUnknown + + + ITcAsyncCollection + 32 + ITcAsyncResult + + Count + HRESULT + 32 + + nCount + UDINT + 32 + + + + Get + HRESULT + 32 + + nIndex + UDINT + 32 + + + pipValue + ITcUnknown + 32 + + + + + TcEventField + 16 + UINT + + Id + 0 + + + SeverityLevel + 1 + + + EventClass + 2 + + + EventClassName + 3 + + + EventId + 4 + + + EventText + 5 + + + TimeRaised + 6 + + + TimeConfirmed + 7 + + + TimeCleared + 8 + + + SourceName + 9 + + + SourceId + 10 + + + SourceGuid + 11 + + + JsonAttribute + 12 + + + + plcAttribute_qualified_only + + + plcAttribute_strict + + + + + TcEventSortOrder + 16 + INT + + Descending + 0 + + + Ascending + 1 + + + + plcAttribute_qualified_only + + + plcAttribute_strict + + + + + TcEventLimitType + 16 + UINT + + First + 0 + + + Last + 1 + + + + plcAttribute_qualified_only + + + plcAttribute_strict + + + + + TcExportTimeFormat + 16 + UINT + + Filetime + 0 + + + Iso8601 + 1 + + + IecDateAndTime + 2 + + + VariantTime + 3 + + + Localized + 4 + + + Custom + 5 + + + + plcAttribute_qualified_only + + + plcAttribute_strict + + + + + TcExportTimeType + 16 + UINT + + UTC + 0 + + + LocaleTime + 1 + + + + plcAttribute_qualified_only + + + plcAttribute_strict + + + + + TcExportTimePrecision + 16 + INT + + Floating + -1 + + + Seconds + 0 + + + Milliseconds + 3 + + + Microseconds + 6 + + + OneHundertNanoseconds + 7 + + + Nanoseconds + 9 + + + + plcAttribute_qualified_only + + + plcAttribute_strict + + + + + ITcExportSettings + 32 + ITcUnknown + + SetSorting + HRESULT + 32 + + eField + TcEventField + 16 + + + eOrder + TcEventSortOrder + 16 + + + + SetLimit + HRESULT + 32 + + eType + TcEventLimitType + 16 + + + nLimit + DINT + 32 + + + nOffset + DINT + 32 + + + + SetTimeFormat + HRESULT + 32 + + eFormat + TcExportTimeFormat + 16 + + + eType + TcExportTimeType + 16 + + + ePrecision + TcExportTimePrecision + 16 + + + nLangId + DINT + 32 + + + sFormat + PCCH + 32 + + + + AddLanguage + HRESULT + 32 + + nLangId + DINT + 32 + + + sHeader + PCCH + 32 + + + + AddField + HRESULT + 32 + + eType + TcEventField + 16 + + + sHeader + PCCH + 32 + + + sPath + PCCH + 32 + + + + CreateFilter + HRESULT + 32 + + pipFilter + ITcEventFilter + 32 + + + + AddFilter + HRESULT + 32 + + ipFilter + ITcEventFilter + 32 + + + + Clear + HRESULT + 32 + + + + ITcClearLoggedEventsSettings + 32 + ITcUnknown + + SetSorting + HRESULT + 32 + + eField + TcEventField + 16 + + + eOrder + TcEventSortOrder + 16 + + + + SetLimit + HRESULT + 32 + + eType + TcEventLimitType + 16 + + + nLimit + DINT + 32 + + + nOffset + DINT + 32 + + + + AddFilter + HRESULT + 32 + + ipFilter + ITcEventFilter + 32 + + + + Clear + HRESULT + 32 + + + + ITcRemoteEventLogger + 32 + ITcUnknown + + IsInitialized + HRESULT + 32 + + + Connect + HRESULT + 32 + + netId + AMSNETID + 32 + + + + Disconnect + HRESULT + 32 + + + IsConnected + HRESULT + 32 + + + GetAmsNetId + HRESULT + 32 + + pAmsNetId + AMSNETID + 32 + + + + ConfirmAlarms + HRESULT + 32 + + timeStamp + ULINT + 64 + + + ipFilter + ITcEventFilter + 32 + + + pipResult + ITcAsyncResult + 32 + + + + ClearAlarms + HRESULT + 32 + + timeStamp + ULINT + 64 + + + bResetConfirmation + BOOL32 + 32 + + + ipFilter + ITcEventFilter + 32 + + + pipResult + ITcAsyncResult + 32 + + + + AddListener + HRESULT + 32 + + ipListener + ITcEventListener + 32 + + + ipFilter + ITcEventFilter + 32 + + + + RemoveListener + HRESULT + 32 + + ipListener + ITcEventListener + 32 + + + + NotifyListener + HRESULT + 32 + + ipListener + ITcEventListener + 32 + + + + SendTcMessage + HRESULT + 32 + + eventClass + GUID + 32 + + + eventId + UDINT + 32 + + + severity + TcEventSeverity + 16 + + + ipSourceInfo + ITcSourceInfo + 32 + + + timeStamp + ULINT + 64 + + + ipSerializedArguments + ITcArguments + 32 + + + sJsonAttribute + PCCH + 32 + + + + GetEventText + HRESULT + 32 + + eventClass + GUID + 32 + + + eventId + UDINT + 32 + + + ipSourceInfo + ITcSourceInfo + 32 + + + ipArguments + ITcArguments + 32 + + + nLangId + DINT + 32 + + + pipResult + ITcAsyncStringResult + 32 + + + + GetEventClassName + HRESULT + 32 + + eventClass + GUID + 32 + + + nLangId + DINT + 32 + + + pipResult + ITcAsyncStringResult + 32 + + + + GetCauseRemedy + HRESULT + 32 + + eventClass + GUID + 32 + + + eventId + UDINT + 32 + + + nLangId + DINT + 32 + + + pipCollection + ITcAsyncCollection + 32 + + + + GetEventClassDetails + HRESULT + 32 + + eventClass + GUID + 32 + + + nLangId + DINT + 32 + + + pipCollection + ITcAsyncCollection + 32 + + + + GetEventDetails + HRESULT + 32 + + eventClass + GUID + 32 + + + eventId + UDINT + 32 + + + nLangId + DINT + 32 + + + pipCollection + ITcAsyncCollection + 32 + + + + GetActiveAlarms + HRESULT + 32 + + pipCollection + ITcAsyncCollection + 32 + + + + GetLoggedEvents + HRESULT + 32 + + pipSettings + ITcExportSettings + 32 + + + pipCollection + ITcAsyncCollection + 32 + + + + ClearLoggedEvents + HRESULT + 32 + + ipSettings + ITcClearLoggedEventsSettings + 32 + + + pipResult + ITcAsyncResult + 32 + + - - - - - - - + - ST_TcGeneralAdsEventClass - 14016 + I_TcRemoteEventLogger + 64 + PVOID + + __getipData + ITcRemoteEventLogger + 64 + + + property + + + hide + + + + + + FB_RequestEventText + 192 + + bBusy + BOOL + 8 + 163733096 + + + bError + BOOL + 8 + 163733184 + + + hrErrorCode + HRESULT + 32 + 163733112 + + + nStringSize + UDINT + 32 + 163733160 + + + sEventText + STRING(255) + 2048 + 163733200 + + + TcEncoding + UTF-8 + + + + + __getbBusy + BOOL + 8 + + bBusy + BOOL + 8 + + + b32IsBusy + BOOL32 + 32 + + + + property + + + + + __gethrErrorCode + HRESULT + 32 + + hrErrorCode + HRESULT + 32 + + + hrError + HRESULT + 32 + + + + property + + + + + RequestRemote + HRESULT + 32 + + ipRemoteLogger + I_TcRemoteEventLogger + 64 + + + eventClass + GUID + 128 + + + nEventId + UDINT + 32 + + + nLangId + English(US)=1033 ; German(Germay)=1031 + DINT + 32 + + + ipArgs + I_TcArguments + 64 + + + ipTcRemoteLogger + ITcRemoteEventLogger + 64 + + + ipArguments + ITcArguments + 64 + + + + conditionalshow + + + + + GetString + BOOL + 8 + + sResult + STRING(80) + 64 + + + nResult + buffer size in bytes + UDINT + 32 + + + + __getnStringSize + UDINT + 32 + + nStringSize + UDINT + 32 + + + pEmpty + STRING(80) + 64 + + + + property + + + + + Clear + + + __getbError + BOOL + 8 + + bError + BOOL + 8 + + + b32HasError + BOOL32 + 32 + + + + property + + + + + Request + HRESULT + 32 + + eventClass + GUID + 128 + + + nEventId + UDINT + 32 + + + nLangId + English(US)=1033 ; German(Germay)=1031 + DINT + 32 + + + ipArgs + I_TcArguments + 64 + + + ipArguments + ITcArguments + 64 + + + + __getsEventText + STRING(255) + 2048 + + sEventText + STRING(255) + 2048 + + + + property + + + TcEncoding + UTF-8 + + + + + + PouType + FunctionBlock + + + conditionalshow_all_locals + + + no_explicit_call + do not call this POU directly + + + + + ST_PendingEvent + 86528 + + stEventInfo + ST_LoggingEventInfo + 86272 + 0 + + + pytmc + + pv: + + + + + + bInUse + BOOL + 8 + 86272 + + + fbRequestEventText + FB_RequestEventText + 192 + 86336 + + + + FB_JsonReadWriteDatatype + 192 + + initStatus + HRESULT + 32 + 64 + + E_HRESULTAdsErr.NOTINIT + + + + ItemType + Output + + + + + ipJson + ITcIecApplicationJson + 64 + 128 + + + GetJsonFromSymbol + | generates a JSON string from a given symbol (via address/size). + | Method returns TRUE if succeeded. + BOOL + 8 + + sDatatype + data type name of symbol - if unknown -> retrieve with GetDatatypeByAddreee() + STRING(80) + 64 + + + ItemType + InOut + + + + + nData + size of symbol + UDINT + 32 + + + pData + address of sxmbol + PVOID + 64 + + + nJson + size of json buffer + UDINT + 64 + + + pJson + json buffer + STRING(80) + 64 + + + hrErrorCode + HRESULT + 32 + + + ItemType + Output + + + + + + CopyJsonStringFromSymbolProperties + | Copies the full DOM document and returns its size in bytes (including the null termination). + UDINT + 32 + + sDatatype + data type name of symbol - if unknown -> retrieve with GetDatatypeNameByAddress() + STRING(80) + 64 + + + ItemType + InOut + + + + + sProperties + multiple Properties separated by '|' + STRING(80) + 64 + + + ItemType + InOut + + + + + pDoc + target string buffer where the document should be copied to + STRING(80) + 64 + + + ItemType + InOut + + + + + nDoc + size in bytes of target string buffer + UDINT + 32 + + + hrErrorCode + HRESULT + 32 + + + ItemType + Output + + + + + pTmp + STRING(80) + 64 + + + + CopySymbolNameByAddress + generates name of symbol defined by address/size and returns its size in bytes (including the null termination). + UDINT + 32 + + nData + size of symbol + UDINT + 32 + + + pData + address of symbol + PVOID + 64 + + + sName + target string buffer where the symbol name should be copied to + STRING(80) + 64 + + + ItemType + InOut + + + + + nName + size in bytes of target string buffer + UDINT + 32 + + + hrErrorCode + HRESULT + 32 + + + ItemType + Output + + + + + pTmp + STRING(80) + 64 + + + + GetSizeJsonStringFromSymbol + Returns size in bytes of the full DOM document (including the null termination). + UDINT + 32 + + sDatatype + data type name of symbol - if unknown -> retrieve with GetDatatypeByAddreee() + STRING(80) + 64 + + + ItemType + InOut + + + + + nData + size of symbol + UDINT + 32 + + + pData + address of symbol + PVOID + 64 + + + hrErrorCode + HRESULT + 32 + + + ItemType + Output + + + + + nSize + UDINT + 32 + + + pTmp + STRING(80) + 64 + + + + GetJsonStringFromSymbolProperties + | Returns the JSON string. +| If its size is more than 255 bytes an empty string is returned and the method CopyJsonStringFromSymbolProperties() has to be used. + STRING(255) + 2048 + + sDatatype + data type name of symbol - if unknown -> retrieve with GetDatatypeByAddreee() + STRING(80) + 64 + + + ItemType + InOut + + + + + sProperties + multiple Properties separated by '|' + STRING(80) + 64 + + + ItemType + InOut + + + + + hrErrorCode + HRESULT + 32 + + + ItemType + Output + + + + + nSize + UDINT + 32 + + + pTmp + STRING(80) + 64 + + + + AddJsonKeyPropertiesFromSymbol + returns TRUE if succeeded + BOOL + 8 + + fbWriter + FB_JsonSaxWriter + 64 + + + ItemType + InOut + + + + + sKey + STRING(80) + 64 + + + ItemType + InOut + + + + + sDatatype + data type name of symbol - if unknown -> retrieve with GetDatatypeByAddreee() + STRING(80) + 64 + + + ItemType + InOut + + + + + sProperties + multiple Properties separated by '|' + STRING(80) + 64 + + + ItemType + InOut + + + + + hrErrorCode + HRESULT + 32 + + + ItemType + Output + + + + + ipWriter + ITcJsonSaxWriter + 64 + + + + GetDatatypeNameByAddress + generates data type name from given symbol defined by address/size + STRING(80) + 648 + + nData + size of symbol + UDINT + 32 + + + pData + address of symbol + PVOID + 64 + + + hrErrorCode + HRESULT + 32 + + + ItemType + Output + + + + + length + UDINT + 32 + + + dataType + STRING(80) + 648 + + + + SetSymbolFromJson + | parse a json string and set values of a given symbol (via address/size). + | Method returns TRUE if succeeded. + BOOL + 8 + + sJson + json string for the symbol + STRING(80) + 64 + + + ItemType + InOut + + + + + sDatatype + data type name of symbol - if unknown -> retrieve with GetDatatypeByAddreee() + STRING(80) + 64 + + + ItemType + InOut + + + + + nData + size of symbol + UDINT + 32 + + + pData + address of symbol + PVOID + 64 + + + hrErrorCode + HRESULT + 32 + + + ItemType + Output + + + + + + GetSizeJsonStringFromSymbolProperties + Returns size in bytes of the full DOM document (including the null termination). + UDINT + 32 + + sDatatype + data type name of symbol - if unknown -> retrieve with GetDatatypeByAddreee() + STRING(80) + 64 + + + ItemType + InOut + + + + + sProperties + multiple Properties separated by '|' + STRING(80) + 64 + + + ItemType + InOut + + + + + hrErrorCode + HRESULT + 32 + + + ItemType + Output + + + + + nSize + UDINT + 32 + + + pTmp + STRING(80) + 64 + + + + GetJsonStringFromSymbol + | Returns the JSON string. +| If its size is more than 255 bytes an empty string is returned and the method CopyJsonStringFromSymbol() has to be used. + STRING(255) + 2048 + + sDatatype + data type name of symbol - if unknown -> retrieve with GetDatatypeByAddreee() + STRING(80) + 64 + + + ItemType + InOut + + + + + nData + size of symbol + UDINT + 32 + + + pData + address of symbol + PVOID + 64 + + + hrErrorCode + HRESULT + 32 + + + ItemType + Output + + + + + nSize + UDINT + 32 + + + pTmp + STRING(80) + 64 + + + + CopyJsonStringFromSymbol + | Copies the full DOM document and returns its size in bytes (including the null termination). + UDINT + 32 + + sDatatype + data type name of symbol - if unknown -> retrieve with GetDatatypeNameByAddress() + STRING(80) + 64 + + + ItemType + InOut + + + + + nData + size of symbol + UDINT + 32 + + + pData + address of symbol + PVOID + 64 + + + pDoc + target string buffer where the document should be copied to + STRING(80) + 64 + + + ItemType + InOut + + + + + nDoc + size in bytes of target string buffer + UDINT + 32 + + + hrErrorCode + HRESULT + 32 + + + ItemType + Output + + + + + pTmp + STRING(80) + 64 + + + + GetSymbolNameByAddress + generates name of symbol defined by address/size + STRING(255) + 2048 + + nData + size of symbol + UDINT + 32 + + + pData + address of symbol + PVOID + 64 + + + hrErrorCode + HRESULT + 32 + + + ItemType + Output + + + + + length + UDINT + 32 + + + + AddJsonValueFromSymbol + | generates a JSON string from a given symbol (via address/size) + | and adds it to a JSON document via the given SAX Writer. + | Method returns TRUE if succeeded. + BOOL + 8 + + fbWriter + FB_JsonSaxWriter + 64 + + + ItemType + InOut + + + + + sDatatype + data type name of symbol - if unknown -> retrieve with GetDatatypeByAddreee() + STRING(80) + 64 + + + ItemType + InOut + + + + + nData + size of symbol + UDINT + 32 + + + pData + address of sxmbol + PVOID + 64 + + + hrErrorCode + HRESULT + 32 + + + ItemType + Output + + + + + ipWriter + ITcJsonSaxWriter + 64 + + + + AddJsonKeyValueFromSymbol + returns TRUE if succeeded + BOOL + 8 + + fbWriter + FB_JsonSaxWriter + 64 + + + ItemType + InOut + + + + + sKey + STRING(80) + 64 + + + ItemType + InOut + + + + + sDatatype + data type name of symbol - if unknown -> retrieve with GetDatatypeByAddreee() + STRING(80) + 64 + + + ItemType + InOut + + + + + nData + size of symbol + UDINT + 32 + + + pData + address of sxmbol + PVOID + 64 + + + hrErrorCode + HRESULT + 32 + + + ItemType + Output + + + + + ipWriter + ITcJsonSaxWriter + 64 + + + + + PouType + FunctionBlock + + + no_explicit_call + do not call this POU directly + + + + + FB_Listener + 946624 + FB_ListenerBase + + nEventIdx + UINT + 16 + 192 + + 0 + + + + nPendingEvents + UINT + 16 + 208 + + 0 + + + + bLogToVisualStudio + BOOL + 8 + 224 + + false + + + + pytmc + + pv: LogToVisualStudio + io: io + + + + + + nCntMessagesSent + UDINT + 32 + 256 + + 0 + + + + pytmc + + pv: MessagesSent + io: i + + + + + + nCntAlarmsRaised + UDINT + 32 + 288 + + 0 + + + + pytmc + + pv: AlarmsRaised + io: i + + + + + + nCntAlarmsConfirmed + UDINT + 32 + 320 + + 0 + + + + pytmc + + pv: AlarmsConfirmed + io: i + + + + + + nCntAlarmsCleared + UDINT + 32 + 352 + + 0 + + + + pytmc + + pv: AlarmsCleared + io: i + + + + + + eMinSeverity + TcEventSeverity + 16 + 384 + + + pytmc + + pv: MinSeverity + io: io + + + + + + stEventInfo + ST_LoggingEventInfo + 64 + 448 + + + analysis + -33 + + + pytmc + + pv: Log + + + + + + stPendingEvents + ST_PendingEvent + + 0 + 10 + + 865280 + 512 + + + ipMessageConfig + ITcEventFilterConfig + 64 + 865792 + + + fbSocket + FB_ConnectionlessSocket + 64 + 865856 + + + bConfigured + BOOL + 8 + 865920 + + false + + + + nMaxEvents + UINT + The maximum number of events allowed *per-cycle* + 16 + 865936 + + 10 + + + + __FB_LISTENER__CONFIGURE__BSUBSCRIBED + BOOL + 8 + 865952 + + nt := + + + + __FB_LISTENER__PUBLISHEVENTS__FBJSON + FB_JsonSaxWriter + 384 + 865984 + + + __FB_LISTENER__PUBLISHEVENTS__FBJSONDATATYPE + FB_JsonReadWriteDatatype + 192 + 866368 + + + __FB_LISTENER__PUBLISHEVENTS__SJSONDOC + STRING(10000) + 80008 + 866560 + + + OnAlarmRaised + + fbEvent + FB_TcEvent + 64 + + + + OnAlarmConfirmed + + fbEvent + FB_TcEvent + 64 + + + + __getLogToVisualStudio + BOOL + 8 + + LogToVisualStudio + BOOL + 8 + + + + property + + + analysis + -33 + + + + + OnAlarmCleared + + fbEvent + FB_TcEvent + 64 + + + + SendMessage + HRESULT + 32 + + sMessage + STRING(80) + 64 + + + sLogStr + T_MaxString + 2048 + + + + OnMessageSent + + fbEvent + FB_TcEvent + 64 + + + + PublishEvents + HRESULT + 32 + + nEvent + UINT + 16 + + + stPendingEvent + ST_PendingEvent + 64 + + + stEventInfo + ST_LoggingEventInfo + 64 + + + fbRequestEventText + FB_RequestEventText + 64 + + + fbJson + FB_JsonSaxWriter + 384 + + + uselocation + __FB_LISTENER__PUBLISHEVENTS__FBJSON + + + + + fbJsonDataType + FB_JsonReadWriteDatatype + 192 + + + uselocation + __FB_LISTENER__PUBLISHEVENTS__FBJSONDATATYPE + + + + + sJsonDoc + STRING(10000) + 80008 + + + uselocation + __FB_LISTENER__PUBLISHEVENTS__SJSONDOC + + + + + + StoreEvent + HRESULT + 32 + + fbEvent + FB_TcEvent + 64 + + + eEventType + E_LogEventType + 16 + + + stPendingEvent + ST_PendingEvent + 64 + + + stEventInfo + ST_LoggingEventInfo + 64 + + + nFailures + UINT + 16 + + + + Configure + + Configure an event class + severity + + HRESULT + 32 + + i_EventClass + GUID + 128 + + + i_MinSeverity + TcEventSeverity + 16 + + + i_fbSocket + FB_ConnectionlessSocket + 64 + + + bSubscribed + BOOL + 8 + + + uselocation + __FB_LISTENER__CONFIGURE__BSUBSCRIBED + + + + + + __setLogToVisualStudio + + LogToVisualStudio + BOOL + 8 + + + analysis + -33 + + + + + bValue + BOOL + 8 + + + + property + + + analysis + -33 + + + + + + PouType + FunctionBlock + + + + + FB_GetHostName + The function block returns the standard host name for the local machine. + 4032 + + sNetID + T_AmsNetID + TwinCAT network address (ams net id) + 192 + 64 + + + ItemType + Input + + + + + bExecute + BOOL + Rising edge on this input activates the fb execution + 8 + 256 + + + ItemType + Input + + + + + tTimeout + TIME + Max. fb execution time + 32 + 288 + + 5000 + + + + ItemType + Input + + + + + bBusy + BOOL + 8 + 320 + + + ItemType + Output + + + + + bError + BOOL + 8 + 328 + + + ItemType + Output + + + + + nErrID + UDINT + 32 + 352 + + + ItemType + Output + + + + + sHostName + T_MaxString + The local host name + 2048 + 384 + + + ItemType + Output + + + + + fbAdsRead + ADSREAD + 1408 + 2432 + + + .PORT + 10000 + + + .IDXGRP + 702 + + + .IDXOFFS + 0 + + + + + conditionalshow + + + + + fbTrigger + R_TRIG + 128 + 3840 + + + conditionalshow + + + + + state + BYTE + 8 + 3968 + + + conditionalshow + + + + + + PouType + FunctionBlock + + + conditionalshow_all_locals + + + + + FB_GetPLCHostname + 6592 + + bEnable + BOOL + 8 + 64 + + + ItemType + Input + + + + + tRetryDelay + TIME + 32 + 96 + + T#10s + + + + ItemType + Input + + + + + sHostname + T_MaxString + 2048 + 128 + + + ItemType + Output + + + + + bDone + BOOL + 8 + 2176 + + + ItemType + Output + + + + + bError + BOOL + 8 + 2184 + + + ItemType + Output + + + + + fbGetHostName + FB_GetHostName + 4032 + 2240 + + + tRetry + TON + 256 + 6272 + + + bReset + BOOL + 8 + 6528 + + + bInitialized + BOOL + 8 + 6536 + + false + + + + + PouType + FunctionBlock + + + + + FB_GetPLCIPAddress + 102336 + + bEnable + BOOL + 8 + 64 + + + ItemType + Input + + + + + tRetryDelay + TIME + 32 + 96 + + T#10s + + + + ItemType + Input + + + + + sIPAddress + STRING(15) + 128 + 128 + + + ItemType + Output + + + + + bDone + BOOL + 8 + 256 + + + ItemType + Output + + + + + bError + BOOL + 8 + 264 + + + ItemType + Output + + + + + fbGetAdapterIP + FB_GetAdaptersInfo + Acquire IP of the correct adapter + 101632 + 320 + + + .bExecute + true + + + .sNetID + + + + + + iIndex + UDINT + 32 + 101952 + + + tRetry + TON + 256 + 102016 + + + bReset + BOOL + 8 + 102272 + + + bInitialized + BOOL + 8 + 102280 + + false + + + + + PouType + FunctionBlock + + + + + FB_LogHandler + 5798336 + + fbTcAdsListener + FB_Listener + 946624 + 64 + + + ItemType + Input + + + pytmc + + pv: ADS + + + + + + fbTcRouterListener + FB_Listener + 946624 + 946688 + + + ItemType + Input + + + pytmc + + pv: Router + + + + + + fbTcRTimeListener + FB_Listener + 946624 + 1893312 + + + ItemType + Input + + + pytmc + + pv: RTime + + + + + + fbTcSystemListener + FB_Listener + 946624 + 2839936 + + + ItemType + Input + + + pytmc + + pv: System + + + + + + fbWindowsListener + FB_Listener + 946624 + 3786560 + + + ItemType + Input + + + pytmc + + pv: Windows + + + + + + fbLCLSListener + FB_Listener + 946624 + 4733184 + + + ItemType + Input + + + pytmc + + pv: LCLS + + + + + + bInitialized + BOOL + 8 + 5679808 + + false + + + + bReadyToLog + BOOL + 8 + 5679816 + + false + + + + rtFirstLog + R_TRIG + 128 + 5679872 + + + fbGetHostName + FB_GetPLCHostname + 6592 + 5680000 + + + fbGetIP + FB_GetPLCIPAddress + 102336 + 5686592 + + + fbListener + FB_Listener + 64 + 5788928 + + + fbListeners + FB_Listener + + 0 + 6 + + 384 + 5788992 + + + eMinSeverity + TcEventSeverity + Default minimum severity for subscriptions + 16 + 5789376 + + TcEventSeverity.Verbose + + + + rtReset + R_TRIG + Reset trigger + 128 + 5789440 + + + naming + omit + + + + + bReset + BOOL + 8 + 5789568 + + + fbSocket + FB_ConnectionlessSocket + 7616 + 5789632 + + + nI + UINT + 16 + 5797248 + + + SocketEnable + BOOL + 8 + 5797264 + + + ctuSocketError + CTU + Circuit breaker for socket errors. 3 errors before it stops. + 192 + 5797312 + + + .PV + 3 + + + + + tRetryConnection + TON + Retry after an hour + 256 + 5797504 + + + .PT + T#1h + + + + + tofTrickleBreakerPre + TOF + 256 + 5797760 + + + .PT + T#1s + + + + + tonTrickleBreaker + TON + 256 + 5798016 + + + .PT + T#10s + + + + + bTripCon + BOOL + 8 + 5798272 + + + nNumListeners + UINT + 16 + 5798288 + + 6 + + + + CircuitBreaker + + + + PouType + FunctionBlock + + + + + ST_EL5042_Status + 0 + + + ST_RenishawAbsEnc + Renishaw BiSS-C absolute encoder used with an EL5042 + 128 + + Count + ULINT + Connect to encoder "Position" input + 64 + 0 + + + TcAddressType + Input + + + + + Status + ST_EL5042_Status + Status struct placeholder + 0 + 64 + + + Ref + ULINT + Encoder zero position (useful for aligned position with gantries) + 64 + 64 + + + + FB_GantryDiffVirtualLimitSwitch + 512 + + PEnc + ST_RenishawAbsEnc + Primary axis encoder (usually the upstream one) + 128 + 64 + + + ItemType + Input + + + + + SEnc + ST_RenishawAbsEnc + Secondary axis encoder (couples to the primary) + 128 + 192 + + + ItemType + Input + + + + + GantDiffTol + LINT + Gantry differenace tolerance in encoder counts + 64 + 320 + + + ItemType + Input + + + + + PLimFwd + BOOL + Primary axis forward direction enable + 8 + 384 + + + ItemType + Output + + + + + PLimBwd + BOOL + Primary axis reverse direction enable + 8 + 392 + + + ItemType + Output + + + + + SLimFwd + BOOL + Secondary axis forward direction enable + 8 + 400 + + + ItemType + Output + + + + + SLimBwd + BOOL + Secondary axis reverse direction enable + 8 + 408 + + + ItemType + Output + + + + + GantryDiff + LINT + 64 + 448 + + + + PouType + FunctionBlock + + + + + MC_BufferMode + 16 + UINT + + MC_Aborting + 0 + + + MC_Buffered + 1 + + + MC_BlendingLow + 18 + + + MC_BlendingPrevious + 19 + + + MC_BlendingNext + 20 + + + MC_BlendingHigh + 21 + + + + _E_TcNC_SlaveTypes + 16 + INT + + TCNC_SLAVETYPE_LINEAR + 1 + Lineare Kopplung (Geradengleichung) + + + TCNC_SLAVETYPE_FLYINGSAW_ONVELOCITY + 2 + diagonal synchron. Aufkoppeln schnellstens auf Geschwindigkeit + + + TCNC_SLAVETYPE_FLYINGSAW_ONPOSITION + 3 + diagonal synchron. Aufkoppeln auf Position und Geschwindigkeit + + + TCNC_SLAVETYPE_FLYINGSAW_QUADRATIC + 4 + diagonal synchron. Aufkoppeln (quadratisches Geschw.-Profil) + + + TCNC_SLAVETYPE_SYNCHRONIZING_ONVELO + 5 + synchron. Aufkoppeln instantan auf Geschwindigkeit + + + TCNC_SLAVETYPE_SYNCHRONIZING_ONPOS + 6 + synchron. Aufkoppeln auf Positionen und Geschwindigkeit + + + TCNC_SLAVETYPE_SYNCJERKSETTER_ONVELO + 7 + synchron. Aufkoppeln auf Geschwindigkeit (zeitbasiert mittels Ruck-Steller) + + + TCNC_SLAVETYPE_TABULAR + 10 + Tabellen-Kopplung ("simple/standard tabular slave") + + + TCNC_SLAVETYPE_MULTITABULAR + 11 + Tabellen-Kopplung ("multiscalable multi-tabular slave") + + + TCNC_SLAVETYPE_FLYINGMODULO_LINEAR + 12 + Modulo Kopplung auf Position und/oder Geschwindigkeit mit anschliessender Linear Kopplung ("Schuette") + + + TCNC_SLAVETYPE_MOTIONFUNCTIONTABULAR + 13 + Tabellen-Kopplung "motion functions" + + + TCNC_SLAVETYPE_UNIVERSALTABULAR + 14 + Tabellen-Kopplung, universal tabular type substitues TABULAR, MULTITABULAR and MOTIONFUNCTION - 08.07.05 + + + TCNC_SLAVETYPE_LINEAR_CYCLICCHANGES_RAMP + 15 + Lineare Kopplung (Geradengleichung) mit zyklischer Koppelfaktoraenderung + + + TCNC_SLAVETYPE_BILINEAR + 16 + 27.04.01: Zweifach Lineare Kopplung (Geradengleichung) + + + TCNC_SLAVETYPE_LINEAR_MULTIMASTER + 17 + 29.05.08: Lineare Multi-Master-Kopplung ('MC_GearInMultiMaster') + + + TCNC_SLAVETYPE_CONST_SURFACEVELO_RAMP + 18 + Verrechnete Winkelgeschwindigkeit fuer konstante Oberflaechengeschwindig. in Abhaengigkeit vom Radiusistwert des Enc.2 + + + + conditionalshow + + + + + ST_GearInOptions + 16 + + SlaveType + _E_TcNC_SlaveTypes + 16 + 0 + + + + _ST_FunctionBlockResults + 96 + + Done + BOOL + 8 + 0 + + + CommandAborted + BOOL + 8 + 8 + + + Error + BOOL + 8 + 16 + + + ErrorID + UDINT + 32 + 32 + + + displaymode + hex + + + + + BusyCounter + UDINT + busy cycles since triggering the block - 2018-01-24 KSt + 32 + 64 + + + displaymode + dec + + + + + + conditionalshow + + + + + _E_TcMC_STATES + 16 + INT + + STATE_INITIALIZATION + 100 + + + STATE_ORDER + 101 + + + STATE_RUNNING + 102 + + + STATE_WAITING + 103 + + + STATE_MOTIONCOMMANDSLOCKED + 104 + + + + conditionalshow + + + + + _ST_TcNC_CoupleSlave + 384 + + nSlaveType + UDINT + 32 + 0 + + + nMasterAxisId + UDINT + 32 + 32 + + + nMasterSubIdx + UDINT + 32 + 64 + + + nSlaveSubIdx + UDINT + 32 + 96 + + + fCoupleParam1 + LREAL + 64 + 128 + + + fCoupleParam2 + LREAL + 64 + 192 + + + fCoupleParam3 + LREAL + 64 + 256 + + + fCoupleParam4 + LREAL + 64 + 320 + + + + conditionalshow + + + + + ST_GearInDynOptions + 8 + + CCVmode + BOOL + constant circumference velocity mode + 8 + 0 + + + + MC_GearInDyn + 4416 + + Master + AXIS_REF + Reference to an axis + 64 + 64 + + + ItemType + InOut + + + + + Slave + AXIS_REF + Reference to an axis + 64 + 128 + + + ItemType + InOut + + + + + Enable + BOOL + 8 + 192 + + + ItemType + Input + + + + + GearRatio + LREAL + 64 + 256 + + 1 + + + + ItemType + Input + + + + + Acceleration + LREAL + 64 + 320 + + + ItemType + Input + + + + + Deceleration + LREAL + not used + 64 + 384 + + + ItemType + Input + + + + + Jerk + LREAL + not used + 64 + 448 + + + ItemType + Input + + + + + BufferMode + MC_BufferMode + 16 + 512 + + + ItemType + Input + + + + + Options + ST_GearInDynOptions + optional parameters + 8 + 528 + + + ItemType + Input + + + + + InGear + BOOL + 8 + 536 + + + ItemType + Output + + + + + Busy + BOOL + 8 + 544 + + + ItemType + Output + + + + + Active + BOOL + 8 + 552 + + + ItemType + Output + + + + + CommandAborted + BOOL + 8 + 560 + + + ItemType + Output + + + + + Error + BOOL + 8 + 568 + + + ItemType + Output + + + + + ErrorID + UDINT + 32 + 576 + + + ItemType + Output + + + displaymode + hex + + + + + LastExecutionResult + _ST_FunctionBlockResults + 96 + 608 + + + ADSbusy + BOOL + 8 + 704 + + + iState + _E_TcMC_STATES + 16 + 720 + + _E_TcMC_STATES.STATE_INITIALIZATION + + + + iSubState + INT + 16 + 736 + + + fbAdsWrite + ADSWRITE + 1344 + 768 + + + fbAdsRead + ADSREAD + 1408 + 2112 + + + sCouple + _ST_TcNC_CoupleSlave + 384 + 3520 + + + v_max + LREAL + 64 + 3904 + + + pa_limit + LREAL + 64 + 3968 + + + WasInGear + BOOL + 8 + 4032 + + + iAcceleration + LREAL + 64 + 4096 + + + conditionalshow + + + + + TimerStateFeedback + TON + 256 + 4160 + + + conditionalshow + + + + + + PouType + FunctionBlock + + + + + MC_GearIn + 7360 + + Master + AXIS_REF + Reference to an axis + 64 + 64 + + + ItemType + InOut + + + + + Slave + AXIS_REF + Reference to an axis + 64 + 128 + + + ItemType + InOut + + + + + Execute + BOOL + 8 + 192 + + + ItemType + Input + + + + + RatioNumerator + LREAL + changed from INT (PLCopen) to LREAL + 64 + 256 + + 1 + + + + ItemType + Input + + + + + RatioDenominator + UINT + 16 + 320 + + 1 + + + + ItemType + Input + + + + + Acceleration + LREAL + MasterValueSource : MC_SOURCE; + not available + 64 + 384 + + + ItemType + Input + + + + + Deceleration + LREAL + 64 + 448 + + + ItemType + Input + + + + + Jerk + LREAL + 64 + 512 + + + ItemType + Input + + + + + BufferMode + MC_BufferMode + 16 + 576 + + + ItemType + Input + + + + + Options + ST_GearInOptions + optional parameters + 16 + 592 + + + ItemType + Input + + + + + InGear + BOOL + 8 + 608 + + + ItemType + Output + + + + + Busy + BOOL + 8 + 616 + + + ItemType + Output + + + + + Active + BOOL + 8 + 624 + + + ItemType + Output + + + + + CommandAborted + BOOL + 8 + 632 + + + ItemType + Output + + + + + Error + BOOL + 8 + 640 + + + ItemType + Output + + + + + ErrorID + UDINT + 32 + 672 + + + ItemType + Output + + + displaymode + hex + + + + + LastExecutionResult + _ST_FunctionBlockResults + 96 + 704 + + + ADSbusy + BOOL + 8 + 800 + + + iState + _E_TcMC_STATES + 16 + 816 + + _E_TcMC_STATES.STATE_INITIALIZATION + + + + fbAdsWrite + ADSWRITE + 1344 + 832 + + + sCouple + _ST_TcNC_CoupleSlave + 384 + 2176 + + + fbOptGearInDyn + MC_GearInDyn + 4416 + 2560 + + + fbOnTrigger + R_TRIG + 128 + 6976 + + + conditionalshow + + + + + TimerStateFeedback + TON + 256 + 7104 + + + conditionalshow + + + + + ActGearInDyn + + + WriteGearRatio + + + + PouType + FunctionBlock + + + + + ST_GearOutOptions + 8 + + reserved + BOOL + 8 + 0 + + + conditionalshow + + + + + + MC_GearOut + 2112 + + Slave + AXIS_REF + Reference to an axis + 64 + 64 + + + ItemType + InOut + + + + + Execute + BOOL + 8 + 128 + + + ItemType + Input + + + + + Options + ST_GearOutOptions + optional parameters + 8 + 136 + + + ItemType + Input + + + + + Done + BOOL + 8 + 144 + + + ItemType + Output + + + + + Busy + BOOL + 8 + 152 + + + ItemType + Output + + + + + Error + BOOL + 8 + 160 + + + ItemType + Output + + + + + ErrorID + UDINT + 32 + 192 + + + ItemType + Output + + + displaymode + hex + + + + + LastExecutionResult + _ST_FunctionBlockResults + 96 + 224 + + + ADSbusy + BOOL + 8 + 320 + + + iState + _E_TcMC_STATES + 16 + 336 + + _E_TcMC_STATES.STATE_INITIALIZATION + + + + fbAdsWrite + ADSWRITE + 1344 + 384 + + + fbOnTrigger + R_TRIG + 128 + 1728 + + + conditionalshow + + + + + TimerStateFeedback + TON + 256 + 1856 + + + conditionalshow + + + + + + PouType + FunctionBlock + + + + + FB_SetEnables + 128 + + stMotionStage + ST_MotionStage + 64 + 64 + + + ItemType + InOut + + + + + + PouType + FunctionBlock + + + + + FB_GantryAutoCoupling + 10752 + + nGantryTol + LINT + 64 + 64 + + + ItemType + Input + + + + + bGantryAlreadyCoupled + BOOL + 8 + 128 + + + ItemType + Output + + + + + Master + ST_MotionStage + 64 + 192 + + + ItemType + InOut + + + + + MasterEnc + ST_RenishawAbsEnc + 64 + 256 + + + ItemType + InOut + + + + + Slave + ST_MotionStage + 64 + 320 + + + ItemType + InOut + + + + + SlaveEnc + ST_RenishawAbsEnc + 64 + 384 + + + ItemType + InOut + + + + + bExecuteCouple + BOOL + 64 + 448 + + + ItemType + InOut + + + + + bExecuteDecouple + BOOL + 64 + 512 + + + ItemType + InOut + + + + + gantry_diff_limit + FB_GantryDiffVirtualLimitSwitch + 512 + 576 + + + couple + MC_GearIn + 7360 + 1088 + + + decouple + MC_GearOut + 2112 + 8448 + + + bInitComplete + BOOL + 8 + 10560 + + + fbSetEnables + FB_SetEnables + 128 + 10624 + + + + PouType + FunctionBlock + + + + + FB_RunHOMS + 23296 + + nYupEncRef + ULINT + Encoder Reference Values + 64 + 64 + + + ItemType + Input + + + + + nYdwnEncRef + ULINT + 64 + 128 + + + ItemType + Input + + + + + nXupEncRef + ULINT + 64 + 192 + + + ItemType + Input + + + + + nXdwnEncRef + ULINT + 64 + 256 + + + ItemType + Input + + + + + nGantryTolY + LINT + Encoder counts = nm + 64 + 320 + + 50000 + + + + ItemType + Input + + + + + nGantryTolX + LINT + Encoder counts = nm + 64 + 384 + + 50000 + + + + ItemType + Input + + + + + bGantryAlreadyCoupledY + BOOL + Gantry coupling status + 8 + 448 + + + ItemType + Output + + + + + bGantryAlreadyCoupledX + BOOL + 8 + 456 + + + ItemType + Output + + + + + nCurrGantryY + LINT + Current gantry difference + 64 + 512 + + + ItemType + Output + + + + + nCurrGantryX + LINT + 64 + 576 + + + ItemType + Output + + + + + stYup + ST_MotionStage + Motor Structs + 64 + 640 + + + ItemType + InOut + + + + + stYdwn + ST_MotionStage + 64 + 704 + + + ItemType + InOut + + + + + stXup + ST_MotionStage + 64 + 768 + + + ItemType + InOut + + + + + stXdwn + ST_MotionStage + 64 + 832 + + + ItemType + InOut + + + + + stPitch + ST_MotionStage + 64 + 896 + + + ItemType + InOut + + + + + bExecuteCoupleY + BOOL + Manual coupling Gantried Axes + 64 + 960 + + + ItemType + InOut + + + + + bExecuteCoupleX + BOOL + 64 + 1024 + + + ItemType + InOut + + + + + bExecuteDecoupleY + BOOL + 64 + 1088 + + + ItemType + InOut + + + + + bExecuteDecoupleX + BOOL + 64 + 1152 + + + ItemType + InOut + + + + + bSTOEnable1 + BOOL + STO Button + 8 + 1216 + + + TcAddressType + Input + + + + + bSTOEnable2 + BOOL + 8 + 1224 + + + TcAddressType + Input + + + + + stYupEnc + ST_RenishawAbsEnc + Encoders + 128 + 1280 + + + TcAddressType + Input + + + + + stYdwnEnc + ST_RenishawAbsEnc + 128 + 1408 + + + TcAddressType + Input + + + + + stXupEnc + ST_RenishawAbsEnc + 128 + 1536 + + + TcAddressType + Input + + + + + stXdwnEnc + ST_RenishawAbsEnc + 128 + 1664 + + + TcAddressType + Input + + + + + fbAutoCoupleY + FB_GantryAutoCoupling + Autocoupling Gantried Axes + 10752 + 1792 + + + fbAutoCoupleX + FB_GantryAutoCoupling + 10752 + 12544 + + + + PouType + FunctionBlock + + + + + DUT_HOMS + 23552 + + fbRunHOMS + FB_RunHOMS + System initializiation + 23296 + 0 + + + bExecuteCoupleY + BOOL + Couple/Decouple motors + 8 + 23296 + + + pytmc + + pv: COUPLE_Y + io: o + + + + + + bExecuteDecoupleY + BOOL + 8 + 23304 + + + pytmc + + pv: DECOUPLE_Y + io: o + + + + + + bExecuteCoupleX + BOOL + 8 + 23312 + + + pytmc + + pv: COUPLE_X + io: o + + + + + + bExecuteDecoupleX + BOOL + 8 + 23320 + + + pytmc + + pv: DECOUPLE_X + io: o + + + + + + bGantryAlreadyCoupledY + BOOL + Coupling status + 8 + 23328 + + + pytmc + + pv: ALREADY_COUPLED_Y + io: i + field: ZSV MAJOR + + + + + + bGantryAlreadyCoupledX + BOOL + 8 + 23336 + + + pytmc + + pv: ALREADY_COUPLED_X + io: i + field: ZSV MAJOR + + + + + + nCurrGantryY + LINT + encoder counts = nm + 64 + 23360 + + + nCurrGantryX + LINT + encoder counts = nm + 64 + 23424 + + + fCurrGantryY_um + REAL + Y Gantry difference in um + 32 + 23488 + + + pytmc + + pv: GANTRY_Y + field: EGU um + io: i + + + + + + fCurrGantryX_um + REAL + X Gantry difference in um + 32 + 23520 + + + pytmc + + pv: GANTRY_X + field: EGU um + io: i + + + + + + + FB_LREALBuffer + 128704 + + bExecute + BOOL + If TRUE, we'll accumulate a value on this cycle. + 8 + 64 + + + ItemType + Input + + + + + fInput + LREAL + The value to accumulate. + 64 + 128 + + + ItemType + Input + + + + + arrOutput + LREAL + + 1 + 1000 + + 64000 + 192 + + + ItemType + Output + + + + + bNewArray + BOOL + 8 + 64192 + + + ItemType + Output + + + + + arrPartial + LREAL + + 1 + 1000 + + 64000 + 64256 + + + fbDataBuffer + FB_DataBuffer + 448 + 128256 + + + + PouType + FunctionBlock + + + + + FB_RMSWatch + 387520 + + fMaxRMSError + LREAL + RMS Error + 64 + 64 + + 0 + + + + ItemType + Output + + + + + fMinRMSError + LREAL + start at something huge, FB will update with any smaller measured value + 64 + 128 + + 1000 + + + + ItemType + Output + + + + + stMotionStage + ST_MotionStage + 64 + 192 + + + ItemType + InOut + + + + + fEncScalingNum + LREAL + 64 + 256 + + 1 + + + + fEncScalingDenom + LREAL + 64 + 320 + + 1 + + + + fEncOffset + LREAL + 64 + 384 + + 0 + + + + fEncScale + LREAL + 64 + 448 + + 1 + + + + fbDataEncPos + FB_LREALBuffer + ActPos Data Acquisition FB + 128704 + 512 + + + fbDataSetPos + FB_LREALBuffer + SetPos Data Acquisition FB + 128704 + 129216 + + + bExecuteDataStorage + BOOL + Take data of both ActPos and SetPos + 8 + 257920 + + true + + + + bNewEncArray + BOOL + 8 + 257928 + + + fbStats + FB_BasicStats + Calculate mean/standard deviation of ActPos + 1152 + 257984 + + + fEncMean + LREAL + 64 + 259136 + + + pytmc + + pv: MEAN + io: i + + + + + + fEncStDev + LREAL + 64 + 259200 + + + pytmc + + pv: STDEV + io: i + + + + + + fCurrRMSError + LREAL + 64 + 259264 + + 0 + + + + pytmc + + pv: RMS + io: i + + + + + + nIndex + DINT + 32 + 259328 + + + fSum + LREAL + Just for calculating rms + 64 + 259392 + + 0 + + + + fDiff + LREAL + 64 + 259456 + + 0 + + + + aEncActPos + LREAL + + 1 + 1000 + + 64000 + 259520 + + + pytmc + + pv: ACTPOSARRAY + io: i + + + + + + aEncSetPos + LREAL + + 1 + 1000 + + 64000 + 323520 + + + pytmc + + pv: SETPOSARRAY + io: i + + + + + + + PouType + FunctionBlock + + + + + FB_Index + Index FB +A. Wallace 2016-9-3 + +Why doesn't beckhoff have this as a builtin type? + +Use this thing to have a simple indexer with rollover. + + + 128 + + LowerLimit + INT + Incrementer will rollver over to this value (and initialize to this value) + 16 + 64 + + 1 + + + + ItemType + Input + + + naming + off + + + + + ValInc + INT + Incrementer increments by this value + 16 + 80 + + 1 + + + + ItemType + Input + + + + + UpperLimit + INT + Incrementer will rollover at this value to lower limit + 16 + 96 + + 1 + + + + ItemType + Input + + + + + nVal + INT + Internal incrementer value, initialized to LowerLimit + 16 + 112 + + 1 + + + + naming + off + + + + + Dec + + + Inc + + + DecVal + Decrement the counter and return new value + INT + 16 + + + IncVal + Increment the counter and return new value + INT + 16 + + + + PouType + FunctionBlock + + + + + ST_FbDiagnostics + Stuff to log messages within function blocks + 49664 + + asResults + T_MaxString + + 1 + 20 + + Diagnostic messages, use to record state changes or other important events. + 40960 + 0 + + + resultIdx + FB_Index + Incrementer, included here to facilitate using asResults + 128 + 40960 + + + .LowerLimit + 1 + + + .UpperLimit + 20 + + + + + naming + omit + + + + + fString + FB_FormatString + Use to create good log messages, similar to C++ fstring + 8576 + 41088 + + + naming + omit + + + + + + E_MotionRequest + 16 + INT + + WAIT + 0 + + + INTERRUPT + 1 + + + ABORT + 2 + + + + qualified_only + + + strict + + + + + FB_MotionRequest + 1920 + + stMotionStage + ST_MotionStage + Motor to move + 64 + 64 + + + ItemType + InOut + + + + + bExecute + BOOL + Start move on rising edge, stop move on falling edge + 8 + 128 + + + ItemType + Input + + + + + bReset + BOOL + Reset errors on rising edge + 8 + 136 + + + ItemType + Input + + + + + enumMotionRequest + E_MotionRequest + Define behavior for when the motor is already moving + 16 + 144 + + E_MotionRequest.WAIT + + + + ItemType + Input + + + + + fPos + LREAL + Goal position + 64 + 192 + + + ItemType + Input + + + + + fVel + LREAL + Move velocity + 64 + 256 + + + ItemType + Input + + + + + fAcc + LREAL + Optional acceleration + 64 + 320 + + + ItemType + Input + + + + + fDec + LREAL + Optional deceleration + 64 + 384 + + + ItemType + Input + + + + + bError + BOOL + True if in error state + 8 + 448 + + + ItemType + Output + + + + + nErrorId + UDINT + Error code + 32 + 480 + + + ItemType + Output + + + + + sErrorMessage + STRING(80) + What the error code means + 648 + 512 + + + ItemType + Output + + + + + bBusy + BOOL + If TRUE, we are moving the motor + 8 + 1160 + + + ItemType + Output + + + + + bDone + BOOL + If TRUE, we are not moving the motor and our most recent move was successful + 8 + 1168 + + + ItemType + Output + + + + + rtExec + R_TRIG + 128 + 1216 + + + ftExec + F_TRIG + 128 + 1344 + + + rtReset + R_TRIG + 128 + 1472 + + + ftBusy + F_TRIG + 128 + 1600 + + + nState + UINT + 16 + 1728 + + 0 + + + + bMyMove + BOOL + 8 + 1744 + + + bCausedError + BOOL + 8 + 1752 + + + INIT + UINT + 16 + 1760 + + 0 + + + + WAIT_EXEC + UINT + 16 + 1776 + + 1 + + + + PICK_REQUEST + UINT + 16 + 1792 + + 2 + + + + WAIT_OTHER_MOVE + UINT + 16 + 1808 + + 3 + + + + STOP_OTHER_MOVE + UINT + 16 + 1824 + + 4 + + + + START_MOVE + UINT + 16 + 1840 + + 5 + + + + WAIT_MY_MOVE + UINT + 16 + 1856 + + 6 + + + + STOP_MY_MOVE + UINT + 16 + 1872 + + 7 + + + + DONE_MOVING + UINT + 16 + 1888 + + 8 + + + + ERROR + UINT + 16 + 1904 + + 9 + + + + + PouType + FunctionBlock + + + + + MC_Reset + 1920 + + Axis + AXIS_REF + Reference to an axis + 64 + 64 + + + ItemType + InOut + + + + + Execute + BOOL + B + 8 + 128 + + + ItemType + Input + + + + + Done + BOOL + B + 8 + 136 + + + ItemType + Output + + + + + Busy + BOOL + E + 8 + 144 + + + ItemType + Output + + + + + Error + BOOL + B + 8 + 152 + + + ItemType + Output + + + + + ErrorID + UDINT + B + 32 + 160 + + + ItemType + Output + + + displaymode + hex + + + + + ADSbusy + BOOL + 8 + 192 + + + iState + _E_TcMC_STATES + 16 + 208 + + _E_TcMC_STATES.STATE_INITIALIZATION + + + + LastExecutionResult + _ST_FunctionBlockResults + 96 + 224 + + + fbOnTrigger + R_TRIG + 128 + 1792 + + + conditionalshow + + + + + + PouType + FunctionBlock + + + + + ST_PowerOptions + 0 + + + MC_Power + 960 + + Axis + AXIS_REF + Reference to an axis + 64 + 64 + + + ItemType + InOut + + + + + Enable + BOOL + B + 8 + 128 + + + ItemType + Input + + + + + Enable_Positive + BOOL + E + 8 + 136 + + + ItemType + Input + + + + + Enable_Negative + BOOL + E + 8 + 144 + + + ItemType + Input + + + + + Override + LREAL + in percent - Beckhoff proprietary input + 64 + 192 + + 100 + + + + ItemType + Input + + + + + BufferMode + MC_BufferMode + V + 16 + 256 + + + ItemType + Input + + + + + Options + ST_PowerOptions + 0 + 272 + + + ItemType + Input + + + + + Status + BOOL + B + 8 + 272 + + + ItemType + Output + + + + + Busy + BOOL + V + 8 + 280 + + + ItemType + Output + + + + + Active + BOOL + V + 8 + 288 + + + ItemType + Output + + + + + Error + BOOL + B + 8 + 296 + + + ItemType + Output + + + + + ErrorID + UDINT + E + 32 + 320 + + + ItemType + Output + + + displaymode + hex + + + + + EnableTimeout + TON + 256 + 384 + + + conditionalshow + + + + + EnableOffOnDelay + TP + 256 + 640 + + + conditionalshow + + + + + iOverride + DWORD + 32 + 896 + + + conditionalshow + + + + + + PouType + FunctionBlock + + + conditionalshow_all_locals + + + + + ST_MoveOptions + 320 + + EnableBlendingPosition + BOOL + Command activation at defined ActivationPosition - extends the buffer mode when enabled + 8 + 0 + + + BlendingPosition + LREAL + 64 + 64 + + + StartVelocity + LREAL + velocity profile options - instantaneous speed change at the beginning and at the end of the profile + 64 + 128 + + + EndVelocity + LREAL + 64 + 192 + + + IgnorePositionMonitoring + BOOL + PositionAreaMonitoring, TargetPositionMonitoring and StopMonitoring can be ignored using this flag - 20190311 + 8 + 256 + + + EnableStopPositionMonitoring + BOOL + PositionAreaMonitoring, TargetPositionMonitoring can be enabled for MC_Stop and MC_Halt commands - 20191010 + Monitoring can just be enabled if the monitoring parameters of the axis are enabled as well + The default is no monitoring for both commands even if monitoring options are enabled by axis parameters + 8 + 264 + + + + _E_TcNC_StartPosType + 16 + UINT + + TCNC_START_ABSOLUTE + 1 + Start to absolute position + + + TCNC_START_RELATIVE + 2 + Start to relative position + + + TCNC_START_ENDLESS_PLUS + 3 + Start to endless positive position + + + TCNC_START_ENDLESS_MINUS + 4 + Start to endless negative position + + + TCNC_START_MODULO + 5 + Start to modulo position + + + TCNC_START_ADDITIVE + 6 + Start to a position relative to the last recent target position + + + TCNC_START_MODULO_SHORT + 261 + Start to modulo position + + + TCNC_START_MODULO_PLUS + 517 + Start to modulo position + + + TCNC_START_MODULO_MINUS + 773 + Start to modulo position + + + TCNC_START_MODULO_CURRENT + 1029 + start to modulo position in current direction + + + TCNC_START_ABS_INTERNAL + 9 + Start to absolute position, internal use + + + TCNC_START_ENDLESSPLUS_SLOWMANUAL + 272 + manual jog mode + + + TCNC_START_ENDLESSMINUS_SLOWMANUAL + 273 + manual jog mode + + + TCNC_START_ENDLESSPLUS_FASTMANUAL + 528 + manual jog mode + + + TCNC_START_ENDLESSMINUS_FASTMANUAL + 529 + manual jog mode + + + TCNC_START_STOPANDLOCK + 4096 + stop axis and lock against any motion commands + + + TCNC_START_HALT + 8192 + halt axis - can be interrupted by any motion commands + + + TCNC_START_TORQUE_ABORT + 12288 + 20181210 Fap - halt torque control + + + TCNC_START_TORQUE_ABSOLUTE + 12289 + 20181210 Fap - Start torque control absolute + + + TCNC_START_TORQUE_RELATIVE + 12290 + 20190108 Fap - Start torque control relative NOT IMPLEMENTED + + + + conditionalshow + + + + + ST_TorqueControlOptions + 128 + + ControlMask + UDINT + optional: control mask + 32 + 0 + + + conditionalshow + + + + + Mode + UDINT + optional: mode (ENUM) + 32 + 32 + + + conditionalshow + + + + + ManualTorqueStartValue + LREAL + 64 + 64 + + + + _ST_TcNC_UnversalAxisStartRequest + 640 + + nStartType + UDINT + axis start TYPE (ABSOLUT, RELATIV, ENDLESS_PLUS, ENDLESS_MINUS, MODULO_SHORT, MODULO_PLUS, MODULO_MINUS) + 32 + 0 + + + nControlMask + UDINT + optional: control mask + 32 + 32 + + + fPosTarget + LREAL + target position + 64 + 64 + + + fVeloRequ + LREAL + required velocity + 64 + 128 + + + fAcc + LREAL + acceleration (>= 0) + 64 + 192 + + + fDec + LREAL + deceleration (>= 0) + 64 + 256 + + + fJerk + LREAL + jerk (>= 0) + 64 + 320 + + + nBufferMode + UDINT + optional: 'buffer mode' OR 'activation mode' FOR 'buffered commands' (issue #2786) + 32 + 384 + + + nReserved + UDINT + reserved + 32 + 416 + + + fBlendingPosition + LREAL + optional: activation position + 64 + 448 + + + fVeloStart + LREAL + optional: start velocity (0 <= fVeloStart <= fVeloRequ) Frage: erstmal weglassen ??? + 64 + 512 + + + fVeloEnd + LREAL + optional: end velocity (0 <= fVeloEnd <= fVeloRequ) Frage: erstmal weglassen ??? + 64 + 576 + + + + conditionalshow + + + + + _ST_TcNC_UniversalAxisTorqueStartRequest + 576 + + nStartType + UDINT + axis start TYPE (TORQUECONTINUOUS) + 32 + 0 + + + nControlMask + UDINT + optional: control mask + 32 + 32 + + + nMode + UDINT + optional: mode (ENUM) + 32 + 64 + + + nBufferMode + UDINT + 'buffer mode' OR 'activation mode' FOR 'buffered commands' (first Only ABORTING) + 32 + 96 + + + fTorque + LREAL + target torque [signed] + 64 + 128 + + + fTorqueRamp + LREAL + nc torque ramp + 64 + 192 + + + fVelocityLimitHigh + LREAL + velocity limit high [signed] + 64 + 256 + + + fVelocityLimitLow + LREAL + velocity limit low [signed] + 64 + 320 + + + fAcceleration + LREAL + acceleration (>= 0) + 64 + 384 + + + fDeceleration + LREAL + deceleration (>= 0) + 64 + 448 + + + fManualTorque + LREAL + optional: manual torque (sync value) + 64 + 512 + + + + conditionalshow + + + + + _ST_TcNC_UnversalAxisStartResponse + 32 + + nCmdNo + WORD + Continuous actual command number + 16 + 0 + + + nCmdState + WORD + Command state + 16 + 16 + + + + conditionalshow + + + + + _ST_TcNc_OperationModes + 128 + + PosAreaControl + DWORD + OpModeDWord in cyclic interface + 0 + 32 + 0 + + 1 + + + + TargetPosControl + DWORD + 1 + 32 + 32 + + 2 + + + + StopMonitoring + DWORD + 2 + Loop : DWORD := 2#0000_0000_0000_0000_0000_0000_0000_0100; + 3 + MotionControl : DWORD := 2#0000_0000_0000_0000_0000_0000_0000_1000; + 4 + PEHTimeControl : DWORD := 2#0000_0000_0000_0000_0000_0000_0001_0000; + 5 + BacklashComp : DWORD := 2#0000_0000_0000_0000_0000_0000_0010_0000; + 6 + DelayedErrorReaction + 7 + Modulo + 8 + SimulationAxis + bits 9 .. 11 reserved for supplement products + 12 + 32 + 64 + + 4096 + + + + AllowSlaveCommands + DWORD + bits 13 .. 15 reserved + 16 + PosDiffControl : DWORD := 2#0000_0000_0000_0001_0000_0000_0000_0000; + 17 + VeloDiffControl : DWORD := 2#0000_0000_0000_0010_0000_0000_0000_0000; + 18 + SoftEndMinControl : DWORD := 2#0000_0000_0000_0100_0000_0000_0000_0000; + 19 + SoftEndMaxControl: DWORD := 2#0000_0000_0000_1000_0000_0000_0000_0000; + 20 + ActPosCorrection : DWORD := 2#0000_0000_0001_0000_0000_0000_0000_0000; + 21 + 32 + 96 + + 2097152 + + + + + conditionalshow + + + + + _FB_MoveUniversalGeneric + 8448 + + Axis + AXIS_REF + Reference to an axis + 64 + 64 + + + ItemType + InOut + + + + + LastExecutionResult + _ST_FunctionBlockResults + 64 + 128 + + + ItemType + InOut + + + + + Execute + BOOL + 8 + 192 + + + ItemType + Input + + + + + StartType + _E_TcNC_StartPosType + 20110511 KSt type changed for Tc3 + 16 + 208 + + + ItemType + Input + + + + + Position + LREAL + 64 + 256 + + + ItemType + Input + + + + + Torque + LREAL + 64 + 320 + + + ItemType + Input + + + + + TorqueRamp + LREAL + 64 + 384 + + + ItemType + Input + + + + + Velocity + LREAL + 64 + 448 + + + ItemType + Input + + + + + VelocityLimitHigh + LREAL + 64 + 512 + + + ItemType + Input + + + + + VelocityLimitLow + LREAL + 64 + 576 + + + ItemType + Input + + + + + Acceleration + LREAL + 64 + 640 + + + ItemType + Input + + + + + Deceleration + LREAL + 64 + 704 + + + ItemType + Input + + + + + Jerk + LREAL + 64 + 768 + + + ItemType + Input + + + + + BufferMode + MC_BufferMode + Direction : MC_Direction := MC_Positive_Direction; + E + 16 + 832 + + + ItemType + Input + + + + + Options + ST_MoveOptions + 320 + 896 + + + ItemType + Input + + + + + OptionsTorque + ST_TorqueControlOptions + 128 + 1216 + + + ItemType + Input + + + + + Reset + BOOL + for internal use only + 8 + 1344 + + + ItemType + Input + + + + + GotoRunState + BOOL + for internal use only + 8 + 1352 + + + ItemType + Input + + + + + Done + BOOL + Same meaning as InVelocity for continous motion commands + 8 + 1360 + + + ItemType + Output + + + + + Busy + BOOL + 8 + 1368 + + + ItemType + Output + + + + + Active + BOOL + 8 + 1376 + + + ItemType + Output + + + + + CommandAborted + BOOL + 8 + 1384 + + + ItemType + Output + + + + + Error + BOOL + 8 + 1392 + + + ItemType + Output + + + + + ErrorID + UDINT + 32 + 1408 + + + ItemType + Output + + + displaymode + hex + + + + + CmdNo + UINT + 16 + 1440 + + + ItemType + Output + + + + + ADSbusy + BOOL + 8 + 1456 + + + ItemType + Output + + + + + InTorque + BOOL + 8 + 1464 + + + ItemType + Output + + + + + iState + _E_TcMC_STATES + 16 + 1472 + + _E_TcMC_STATES.STATE_INITIALIZATION + + + + sStartRequest + _ST_TcNC_UnversalAxisStartRequest + 640 + 1536 + + + sTorqueStartRequest + _ST_TcNC_UniversalAxisTorqueStartRequest + 576 + 2176 + + + sStartResponse + _ST_TcNC_UnversalAxisStartResponse + 32 + 2752 + + + fbAdsReadWrite + ADSRDWRTEX + 1792 + 2816 + + + ContinousMode + BOOL + 8 + 4608 + + + InVelocity + BOOL + 8 + 4616 + + + DiffCycleCounter + UDINT + 32 + 4640 + + + EmptyStartResponse + _ST_TcNC_UnversalAxisStartResponse + 32 + 4672 + + + COUNT_R + UDINT + 32 + 4704 + + + CounterCmdNoZero + BYTE + 8 + 4736 + + + CounterCmdNotStarted + BYTE + 8 + 4744 + + + DiffCmdNo + INT + 16 + 4752 + + + InitialNcToPlcCmdNo + UINT + 16 + 4768 + + + NcCycleCounter + BYTE + 8 + 4784 + + + LastNcCycleCounter + BYTE + 8 + 4792 + + + NcMappingCounter + BYTE + 8 + 4800 + + + NcCycleCounterAvailable + BOOL + 8 + 4808 + + + NcCycleCounterNotAvailable + BOOL + 8 + 4816 + + + NcCyclicFeedbackExpected + BOOL + 8 + 4824 + + + PlcDebugCode + DWORD + 32 + 4832 + + + AxisIsSlave + BOOL + 8 + 4864 + + + GetTaskIndex + GETCURTASKINDEX + 256 + 4928 + + + CycleCounter + UDINT + 32 + 5184 + + + BusyCounter + UDINT + 32 + 5216 + + + fbTimeOut + TON + 256 + 5248 + + + fbStopMonitoringTimeOut + TON + 256 + 5504 + + + fbTimeOutMappingCounter + TON + 256 + 5760 + + + fbOnTrigger + R_TRIG + 128 + 6016 + + + sTempMsg + STRING(255) + 2048 + 6144 + + + AccDecreasing + BOOL + 8 + 8192 + + + AccOld + LREAL + 64 + 8256 + + + OpMode + _ST_TcNc_OperationModes + 128 + 8320 + + + suppress_warning_0 + C0228 + + + + + ActMonitorStop + + + ActMonitorAbortTorque + + + ActMonitorContinousMotion + + + ActMonitorDiscreteMotion + + + ActErrorMessage + + + ActMonitorContinuousTorque + + + ActCalcDiffCmdNo + + + ActNcCycleCounter + + + + PouType + FunctionBlock + + + conditionalshow + + + + + MC_Halt + 9472 + + Axis + AXIS_REF + Reference to an axis + 64 + 64 + + + ItemType + InOut + + + + + Execute + BOOL + 8 + 128 + + + ItemType + Input + + + + + Deceleration + LREAL + 64 + 192 + + + ItemType + Input + + + + + Jerk + LREAL + 64 + 256 + + + ItemType + Input + + + + + BufferMode + MC_BufferMode + 16 + 320 + + + ItemType + Input + + + + + Options + ST_MoveOptions + optional parameters + 320 + 384 + + + ItemType + Input + + + + + Done + BOOL + 8 + 704 + + + ItemType + Output + + + + + Busy + BOOL + 8 + 712 + + + ItemType + Output + + + + + Active + BOOL + 8 + 720 + + + ItemType + Output + + + + + CommandAborted + BOOL + 8 + 728 + + + ItemType + Output + + + + + Error + BOOL + 8 + 736 + + + ItemType + Output + + + + + ErrorID + UDINT + 32 + 768 + + + ItemType + Output + + + displaymode + hex + + + + + LastExecutionResult + _ST_FunctionBlockResults + 96 + 800 + + + ADSbusy + BOOL + 8 + 896 + + + MoveGeneric + _FB_MoveUniversalGeneric + 8448 + 960 + + + CmdNo + UINT + 16 + 9408 + + + + PouType + FunctionBlock + + + + + E_JogMode + 16 + INT + + MC_JOGMODE_STANDARD_SLOW + 0 + motion with standard jog parameters for slow motion + + + MC_JOGMODE_STANDARD_FAST + 1 + motion with standard jog parameters for fast motion + + + MC_JOGMODE_CONTINOUS + 2 + axis moves as long as the jog button is pressed using parameterized dynamics + + + MC_JOGMODE_INCHING + 3 + axis moves for a certain relative distance + + + MC_JOGMODE_INCHING_MODULO + 4 + axis moves for a certain relative distance - stop position is rounded to the distance value + + + + MC_Direction + 16 + INT + + MC_Positive_Direction + 1 + + + MC_Shortest_Way + 2 + + + MC_Negative_Direction + 3 + + + MC_Current_Direction + 4 + + + MC_Undefined_Direction + 128 + + + + MC_MoveVelocity + 9600 + + Axis + AXIS_REF + Reference to an axis + 64 + 64 + + + ItemType + InOut + + + + + Execute + BOOL + 8 + 128 + + + ItemType + Input + + + + + Velocity + LREAL + ContinuousUpdate : BOOL; + not available + 64 + 192 + + + ItemType + Input + + + + + Acceleration + LREAL + 64 + 256 + + + ItemType + Input + + + + + Deceleration + LREAL + 64 + 320 + + + ItemType + Input + + + + + Jerk + LREAL + 64 + 384 + + + ItemType + Input + + + + + Direction + MC_Direction + 16 + 448 + + MC_Direction.MC_Positive_Direction + + + + ItemType + Input + + + + + BufferMode + MC_BufferMode + 16 + 464 + + + ItemType + Input + + + + + Options + ST_MoveOptions + 320 + 512 + + + ItemType + Input + + + + + InVelocity + BOOL + Commanded velocity reached + 8 + 832 + + + ItemType + Output + + + + + Busy + BOOL + 8 + 840 + + + ItemType + Output + + + + + Active + BOOL + 8 + 848 + + + ItemType + Output + + + + + CommandAborted + BOOL + 8 + 856 + + + ItemType + Output + + + + + Error + BOOL + 8 + 864 + + + ItemType + Output + + + + + ErrorID + UDINT + 32 + 896 + + + ItemType + Output + + + displaymode + hex + + + + + LastExecutionResult + _ST_FunctionBlockResults + 96 + 928 + + + ADSbusy + BOOL + 8 + 1024 + + + MoveGeneric + _FB_MoveUniversalGeneric + 8448 + 1088 + + + CmdNo + UINT + 16 + 9536 + + + + PouType + FunctionBlock + + + + + ST_McOutputs + 96 + + Done + BOOL + 8 + 0 + + + Busy + BOOL + 8 + 8 + + + Active + BOOL + 8 + 16 + + + CommandAborted + BOOL + 8 + 24 + + + Error + BOOL + 8 + 32 + + + ErrorID + UDINT + 32 + 64 + + + + MC_MoveAbsolute + 9664 + + Axis + AXIS_REF + Reference to an axis + 64 + 64 + + + ItemType + InOut + + + + + Execute + BOOL + Start the motion at rising edge + 8 + 128 + + + ItemType + Input + + + + + Position + LREAL + Target position for the motion + 64 + 192 + + + ItemType + Input + + + + + Velocity + LREAL + Value of the maximum velocity + 64 + 256 + + + ItemType + Input + + + + + Acceleration + LREAL + Value of the maximum acceleration + 64 + 320 + + + ItemType + Input + + + + + Deceleration + LREAL + Value of the maximum deceleration + 64 + 384 + + + ItemType + Input + + + + + Jerk + LREAL + Value of the maximum jerk + 64 + 448 + + + ItemType + Input + + + + + BufferMode + MC_BufferMode + Direction : MC_Direction; + not available + 16 + 512 + + + ItemType + Input + + + + + Options + ST_MoveOptions + optional parameters + 320 + 576 + + + ItemType + Input + + + + + Done + BOOL + 8 + 896 + + + ItemType + Output + + + + + Busy + BOOL + 8 + 904 + + + ItemType + Output + + + + + Active + BOOL + 8 + 912 + + + ItemType + Output + + + + + CommandAborted + BOOL + 8 + 920 + + + ItemType + Output + + + + + Error + BOOL + 8 + 928 + + + ItemType + Output + + + + + ErrorID + UDINT + 32 + 960 + + + ItemType + Output + + + displaymode + hex + + + + + LastExecutionResult + _ST_FunctionBlockResults + 96 + 992 + + + ADSbusy + BOOL + 8 + 1088 + + + MoveGeneric + _FB_MoveUniversalGeneric + 8448 + 1152 + + + CmdNo + UINT + 16 + 9600 + + + + PouType + FunctionBlock + + + + + MC_MoveRelative + 9664 + + Axis + AXIS_REF + Reference to an axis + 64 + 64 + + + ItemType + InOut + + + + + Execute + BOOL + 8 + 128 + + + ItemType + Input + + + + + Distance + LREAL + ContinuousUpdate : BOOL; + not available + 64 + 192 + + + ItemType + Input + + + + + Velocity + LREAL + 64 + 256 + + + ItemType + Input + + + + + Acceleration + LREAL + 64 + 320 + + + ItemType + Input + + + + + Deceleration + LREAL + 64 + 384 + + + ItemType + Input + + + + + Jerk + LREAL + 64 + 448 + + + ItemType + Input + + + + + BufferMode + MC_BufferMode + 16 + 512 + + + ItemType + Input + + + + + Options + ST_MoveOptions + 320 + 576 + + + ItemType + Input + + + + + Done + BOOL + 8 + 896 + + + ItemType + Output + + + + + Busy + BOOL + 8 + 904 + + + ItemType + Output + + + + + Active + BOOL + 8 + 912 + + + ItemType + Output + + + + + CommandAborted + BOOL + 8 + 920 + + + ItemType + Output + + + + + Error + BOOL + 8 + 928 + + + ItemType + Output + + + + + ErrorID + UDINT + 32 + 960 + + + ItemType + Output + + + displaymode + hex + + + + + LastExecutionResult + _ST_FunctionBlockResults + 96 + 992 + + + ADSbusy + BOOL + 8 + 1088 + + + MoveGeneric + _FB_MoveUniversalGeneric + 8448 + 1152 + + + CmdNo + UINT + 16 + 9600 + + + + PouType + FunctionBlock + + + + + MC_Jog + 48512 + + Axis + AXIS_REF + Reference to an axis + 64 + 64 + + + ItemType + InOut + + + + + JogForward + BOOL + 8 + 128 + + + ItemType + Input + + + + + JogBackwards + BOOL + 8 + 136 + + + ItemType + Input + + + + + Mode + E_JogMode + 16 + 144 + + + ItemType + Input + + + + + Position + LREAL + 64 + 192 + + + ItemType + Input + + + + + Velocity + LREAL + 64 + 256 + + + ItemType + Input + + + + + Acceleration + LREAL + 64 + 320 + + + ItemType + Input + + + + + Deceleration + LREAL + 64 + 384 + + + ItemType + Input + + + + + Jerk + LREAL + 64 + 448 + + + ItemType + Input + + + + + Done + BOOL + 8 + 512 + + + ItemType + Output + + + + + Busy + BOOL + 8 + 520 + + + ItemType + Output + + + + + Active + BOOL + 8 + 528 + + + ItemType + Output + + + + + CommandAborted + BOOL + 8 + 536 + + + ItemType + Output + + + + + Error + BOOL + 8 + 544 + + + ItemType + Output + + + + + ErrorID + UDINT + 32 + 576 + + + ItemType + Output + + + displaymode + hex + + + + + state + INT + 16 + 608 + + + LastExecutionResult + _ST_FunctionBlockResults + 96 + 640 + + + StateDWord + BOOL + 8 + 736 + + + ExecuteMoveVelocity + BOOL + 8 + 744 + + + MoveVelocity + MC_MoveVelocity + 9600 + 768 + + + MoveVelocityOut + ST_McOutputs + 96 + 10368 + + + Direction + MC_Direction + 16 + 10464 + + + ExecuteHalt + BOOL + 8 + 10480 + + + Halt + MC_Halt + 9472 + 10496 + + + HaltOut + ST_McOutputs + 96 + 19968 + + + ExecuteMoveAbsolute + BOOL + 8 + 20064 + + + MoveAbsolute + MC_MoveAbsolute + 9664 + 20096 + + + MoveAbsoluteOut + ST_McOutputs + 96 + 29760 + + + ExecuteMoveRelative + BOOL + 8 + 29856 + + + MoveRelative + MC_MoveRelative + 9664 + 29888 + + + MoveRelativeOut + ST_McOutputs + 96 + 39552 + + + JogMove + _FB_MoveUniversalGeneric + 8448 + 39680 + + + LastJogMoveResult + _ST_FunctionBlockResults + 96 + 48128 + + + ExecuteJogMove + BOOL + 8 + 48224 + + + StartType + _E_TcNC_StartPosType + 16 + 48240 + + + JogMoveOut + ST_McOutputs + 96 + 48256 + + + JogEnd + BOOL + 8 + 48352 + + + TargetPosition + LREAL + 64 + 48384 + + + modulo + LREAL + 64 + 48448 + + + ActJogMove + + + ActCheckJogEnd + + + + PouType + FunctionBlock + + + + + MC_MoveModulo + 9792 + + Axis + AXIS_REF + Reference to an axis + 64 + 64 + + + ItemType + InOut + + + + + Execute + BOOL + 8 + 128 + + + ItemType + Input + + + + + Position + LREAL + 64 + 192 + + + ItemType + Input + + + + + Velocity + LREAL + 64 + 256 + + + ItemType + Input + + + + + Acceleration + LREAL + 64 + 320 + + + ItemType + Input + + + + + Deceleration + LREAL + 64 + 384 + + + ItemType + Input + + + + + Jerk + LREAL + 64 + 448 + + + ItemType + Input + + + + + Direction + MC_Direction + modulo shortest way, modulo positive, modulo negative + 16 + 512 + + + ItemType + Input + + + + + BufferMode + MC_BufferMode + E + 16 + 528 + + + ItemType + Input + + + + + Options + ST_MoveOptions + 320 + 576 + + + ItemType + Input + + + + + Done + BOOL + 8 + 896 + + + ItemType + Output + + + + + Busy + BOOL + 8 + 904 + + + ItemType + Output + + + + + Active + BOOL + 8 + 912 + + + ItemType + Output + + + + + CommandAborted + BOOL + 8 + 920 + + + ItemType + Output + + + + + Error + BOOL + 8 + 928 + + + ItemType + Output + + + + + ErrorID + UDINT + 32 + 960 + + + ItemType + Output + + + displaymode + hex + + + + + LastExecutionResult + _ST_FunctionBlockResults + 96 + 992 + + + ADSbusy + BOOL + 8 + 1088 + + + MoveGeneric + _FB_MoveUniversalGeneric + 8448 + 1152 + + + StartType + _E_TcNC_StartPosType + 16 + 9600 + + + CmdNo + UINT + 16 + 9616 + + + TriggerExecute + R_TRIG + 128 + 9664 + + + MC_MoveModuloCall + + + + PouType + FunctionBlock + + + + + MC_HomingMode + 16 + INT + + MC_DefaultHoming + 0 + default homing as defined in the SystemManager encoder parameters + + + MC_AbsSwitch + 1 + not implemented - Absolute Switch homing plus Limit switches + + + MC_LimitSwitch + 2 + not implemented - Homing against Limit switches + + + MC_RefPulse + 3 + not implemented - Homing using encoder Reference Pulse "Zero Mark" + + + MC_Direct + 4 + Static Homing forcing position from user reference + + + MC_Absolute + 5 + not implemented - Static Homing forcing position from absolute encoder + + + MC_Block + 6 + not implemented - Homing against hardware parts blocking movement + + + MC_ForceCalibration + 7 + set the calibration flag without performing any motion or changing the position + + + MC_ResetCalibration + 8 + resets the calibration flag without performing any motion or changing the position + + + + E_EncoderReferenceMode + 32 + UDINT + + ENCODERREFERENCEMODE_DEFAULT + 0 + + + ENCODERREFERENCEMODE_PLCCAM + 1 + + + ENCODERREFERENCEMODE_HARDWARESYNC + 2 + + + ENCODERREFERENCEMODE_HARDWARELATCHPOS + 3 + + + ENCODERREFERENCEMODE_HARDWARELATCHNEG + 4 + + + ENCODERREFERENCEMODE_SOFTWARESYNC + 5 + + + ENCODERREFERENCEMODE_SOFTDRIVELATCHPOS + 6 + + + ENCODERREFERENCEMODE_SOFTDRIVELATCHNEG + 7 + + + ENCODERREFERENCEMODE_APPLICATION + 20 + + + + ST_HomingOptions + 320 - GeneralDeviceError - TcEventEntry - 192 + ClearPositionLag + BOOL + set actual and set position to same value and clear the position lag + 8 0 + + + SearchDirection + MC_Direction + 16 + 16 - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.GeneralDeviceError - - - .eSeverity - TcEventSeverity.Error - + MC_Direction.MC_Undefined_Direction + + + + SearchVelocity + LREAL + 64 + 64 + + + SyncDirection + MC_Direction + 16 + 128 + + MC_Direction.MC_Undefined_Direction + + + + SyncVelocity + LREAL + 64 + 192 + + + ReferenceMode + E_EncoderReferenceMode + 32 + 256 + + E_EncoderReferenceMode.ENCODERREFERENCEMODE_DEFAULT + + + ST_SetPositionOptions + 32 + + ClearPositionLag + BOOL + set actual and set position to same value and clear the position lag + 8 + 0 + + + SelectEncoderIndex + BOOL + selects an encoder with index 0..n explicitly if enabled - selction by EncoderIndex below + 8 + 8 + + + EncoderIndex + UINT + encoder with index 0..n, 0 is first encoder - valid only if SelectEncoderIndex=TRUE + 16 + 16 + + + + _ST_TcNC_SetPosOnTheFly + 256 + + nPosSetType + UDINT + Absolute, Relative - added KSt 2009-01-28 + 32 + 0 + + + nCtrlDWord + UDINT + added KSt 2009-01-28 + 32 + 32 + + + fPreviousActualPos + LREAL + value not used any longer since 2009-01-28 + 64 + 64 + + + fNewActualPos + LREAL + 64 + 128 + + + nReserved + DWORD + + 1 + 2 + + added KSt 2009-01-28 + 64 + 192 + + + + conditionalshow + + + + + MC_SetPosition + 2240 + + Axis + AXIS_REF + Reference to an axis + 64 + 64 + + + ItemType + InOut + + + + + Execute + BOOL + Start setting position in axis + 8 + 128 + + + ItemType + Input + + + + + Position + LREAL + Position unit [u] (Means ‘Distance’ if Mode = RELATIVE) + 64 + 192 + + + ItemType + Input + + + + + Mode + BOOL + RELATIVE =True, ABSOLUTE = False (Default) + 8 + 256 + + + ItemType + Input + + + + + Options + ST_SetPositionOptions + Beckhoff proprietary input + 32 + 272 + + + ItemType + Input + + + + + Done + BOOL + Position has new value + 8 + 304 + + + ItemType + Output + + + + + Busy + BOOL + Shows that the Function Block is not finished + 8 + 312 + + + ItemType + Output + + + + + Error + BOOL + Signals that an error has occurred within the Function Block + 8 + 320 + + + ItemType + Output + + + + + ErrorID + UDINT + Error identification + 32 + 352 + + + ItemType + Output + + + displaymode + hex + + + + + ADSbusy + BOOL + 8 + 384 + - ServiceNotSupported - TcEventEntry - 192 - 192 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.ServiceNotSupported - - - .eSeverity - TcEventSeverity.Error - - + stSetPos + _ST_TcNC_SetPosOnTheFly + 256 + 448 - InvalidIndexGroup - TcEventEntry - 192 - 384 + iState + _E_TcMC_STATES + 16 + 704 - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.InvalidIndexGroup - - - .eSeverity - TcEventSeverity.Error - + _E_TcMC_STATES.STATE_INITIALIZATION - InvalidIndexOffset - TcEventEntry - 192 - 576 + fbAdsWrite + ADSWRITE + 1344 + 768 + + + fbOnTrigger + R_TRIG + 128 + 2112 + + + conditionalshow + + + + + + PouType + FunctionBlock + + + + + MC_Home + 8064 + + Axis + AXIS_REF + Reference to an axis + 64 + 64 + + + ItemType + InOut + + + + + Execute + BOOL + 8 + 128 + + + ItemType + Input + + + + + Position + LREAL + 64 + 192 - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.InvalidIndexOffset - - - .eSeverity - TcEventSeverity.Error - + 1E+307 + + + ItemType + Input + + - InvalidAccess - TcEventEntry - 192 - 768 + HomingMode + MC_HomingMode + 16 + 256 + + + ItemType + Input + + + + + BufferMode + MC_BufferMode + 16 + 272 + + + ItemType + Input + + + + + Options + ST_HomingOptions + Beckhoff proprietary input + 320 + 320 + + + ItemType + Input + + + + + bCalibrationCam + BOOL + Beckhoff proprietary input + 8 + 640 + + + ItemType + Input + + + + + Done + BOOL + 8 + 648 + + + ItemType + Output + + + + + Busy + BOOL + 8 + 656 + + + ItemType + Output + + + + + Active + BOOL + 8 + 664 + + + ItemType + Output + + + + + CommandAborted + BOOL + 8 + 672 + + + ItemType + Output + + + + + Error + BOOL + 8 + 680 + + + ItemType + Output + + + + + ErrorID + UDINT + 32 + 704 + + + ItemType + Output + + + displaymode + hex + + + + + LastExecutionResult + _ST_FunctionBlockResults + 96 + 736 + + + conditionalshow + + + + + ADSbusy + BOOL + 8 + 832 + + + conditionalshow + + + + + iState + _E_TcMC_STATES + 16 + 848 - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.InvalidAccess - - - .eSeverity - TcEventSeverity.Error - + _E_TcMC_STATES.STATE_INITIALIZATION + + + conditionalshow + + + + + iSubState + INT + 16 + 864 + + + conditionalshow + + + + + fbAdsWriteCmd + ADSWRITE + 1344 + 896 + + + conditionalshow + + + + + fbAdsWriteParameter + ADSWRITE + 1344 + 2240 + + + conditionalshow + + + + + fbAdsReadRefPos + ADSREAD + 1408 + 3584 + + + conditionalshow + + + + + ReferenceFlagValue + UDINT + 32 + 4992 + + + conditionalshow + + - InvalidSize - TcEventEntry - 192 - 960 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.InvalidSize - - - .eSeverity - TcEventSeverity.Error - - + fbSetPosition + MC_SetPosition + 2240 + 5056 + + + conditionalshow + + - InvalidData - TcEventEntry - 192 - 1152 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.InvalidData - - - .eSeverity - TcEventSeverity.Error - - + CalibrationValue + LREAL + 64 + 7296 + + + conditionalshow + + - NotReady - TcEventEntry - 192 - 1344 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.NotReady - - - .eSeverity - TcEventSeverity.Error - - + SearchDirection + UINT + 16 + 7360 + + + conditionalshow + + - Busy - TcEventEntry - 192 - 1536 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.Busy - - - .eSeverity - TcEventSeverity.Error - - + SearchVelocity + LREAL + 64 + 7424 + + + conditionalshow + + - InvalidContext - TcEventEntry - 192 - 1728 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.InvalidContext - - - .eSeverity - TcEventSeverity.Error - - + SyncDirection + UINT + 16 + 7488 + + + conditionalshow + + - NoMemory - TcEventEntry - 192 - 1920 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.NoMemory - - - .eSeverity - TcEventSeverity.Error - - + SyncVelocity + LREAL + 64 + 7552 + + + conditionalshow + + - InvalidParam - TcEventEntry - 192 - 2112 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.InvalidParam - - - .eSeverity - TcEventSeverity.Error - - + ReferenceMode + E_EncoderReferenceMode + 32 + 7616 + + + conditionalshow + + + + + fbTrigger + R_TRIG + 128 + 7680 + + + conditionalshow + + + + + fbTimeOut + TON + 256 + 7808 + + + conditionalshow + + + + + + PouType + FunctionBlock + + + conditionalshow_all_locals + + + + + FB_WriteParameterInNc_v1_00 + 1728 + + bExecute + BOOL + 8 + 64 + + + ItemType + Input + + - NotFound - TcEventEntry - 192 - 2304 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.NotFound - - - .eSeverity - TcEventSeverity.Error - - + nDeviceGroup + UDINT + 16#4000=Axisdata, 16#5000=Encoderdata, 16#6000=Controldata, 16#7000=Drivedata + 32 + 96 + + + ItemType + Input + + - Syntax - TcEventEntry - 192 - 2496 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.Syntax - - - .eSeverity - TcEventSeverity.Error - - + nIndexOffset + UDINT + 32 + 128 + + + ItemType + Input + + - Incompatible - TcEventEntry - 192 - 2688 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.Incompatible - - - .eSeverity - TcEventSeverity.Error - - + nData + DWORD + 32 + 160 + + + ItemType + Input + + - AlreadyExists - TcEventEntry - 192 - 2880 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.AlreadyExists - - - .eSeverity - TcEventSeverity.Error - - + bBusy + BOOL + 8 + 192 + + + ItemType + Output + + - SymbolNotFound - TcEventEntry - 192 - 3072 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.SymbolNotFound - - - .eSeverity - TcEventSeverity.Error - - + bDone + BOOL + 8 + 200 + + + ItemType + Output + + - SymbolVersionInvalid - TcEventEntry - 192 - 3264 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.SymbolVersionInvalid - - - .eSeverity - TcEventSeverity.Error - - + bError + BOOL + 8 + 208 + + + ItemType + Output + + - InvalidState - TcEventEntry - 192 - 3456 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.InvalidState - - - .eSeverity - TcEventSeverity.Error - - + nErrorId + UDINT + 32 + 224 + + + ItemType + Output + + + + + Axis + AXIS_REF + 64 + 256 + + + ItemType + InOut + + + + + nState + UINT + 16 + 320 + + + fbADSWRITE + ADSWRITE + 1344 + 384 + + + + PouType + FunctionBlock + + + + + FB_WriteFloatParameter + 1792 + + bExecute + BOOL + 8 + 64 + + + ItemType + Input + + - TransModeNotSupported - TcEventEntry - 192 - 3648 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.TransModeNotSupported - - - .eSeverity - TcEventSeverity.Error - - + nDeviceGroup + UDINT + 16#4000=Axisdata, 16#5000=Encoderdata, 16#6000=Controldata, 16#7000=Drivedata + 32 + 96 + + + ItemType + Input + + - NotificationHandleInvalid - TcEventEntry - 192 - 3840 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.NotificationHandleInvalid - - - .eSeverity - TcEventSeverity.Error - - + nIndexOffset + UDINT + 32 + 128 + + + ItemType + Input + + - ClientUnknown - TcEventEntry - 192 - 4032 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.ClientUnknown - - - .eSeverity - TcEventSeverity.Error - - + nData + LREAL + 64 + 192 + + + ItemType + Input + + - NoMoreHandles - TcEventEntry - 192 - 4224 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.NoMoreHandles - - - .eSeverity - TcEventSeverity.Error - - + bBusy + BOOL + 8 + 256 + + + ItemType + Output + + - InvalidWatchSize - TcEventEntry - 192 - 4416 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.InvalidWatchSize - - - .eSeverity - TcEventSeverity.Error - - + bDone + BOOL + 8 + 264 + + + ItemType + Output + + - NotInit - TcEventEntry - 192 - 4608 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.NotInit - - - .eSeverity - TcEventSeverity.Error - - + bError + BOOL + 8 + 272 + + + ItemType + Output + + - DeviceTimeout - TcEventEntry - 192 - 4800 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.DeviceTimeout - - - .eSeverity - TcEventSeverity.Error - - + nErrorId + UDINT + 32 + 288 + + + ItemType + Output + + + + + Axis + AXIS_REF + 64 + 320 + + + ItemType + InOut + + + + + nState + UINT + 16 + 384 + + + fbADSWRITE + ADSWRITE + 1344 + 448 + + + PouType + FunctionBlock + + + + + FB_HomeWriteNcVelocities + 4096 - NoInterface - TcEventEntry - 192 - 4992 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.NoInterface - - - .eSeverity - TcEventSeverity.Error - - + En + BOOL + 8 + 64 + + + ItemType + Input + + - InvalidInterface - TcEventEntry - 192 - 5184 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.InvalidInterface - - - .eSeverity - TcEventSeverity.Error - - + bReset + BOOL + 8 + 72 + + + ItemType + Input + + - InvalidClassID - TcEventEntry - 192 - 5376 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.InvalidClassID - - - .eSeverity - TcEventSeverity.Error - - + bExecute + BOOL + 8 + 80 + + + ItemType + Input + + - InvalidObjectID - TcEventEntry - 192 - 5568 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.InvalidObjectID - - - .eSeverity - TcEventSeverity.Error - - + fVelocityToCam + LREAL + 64 + 128 + + + ItemType + Input + + - Pending - TcEventEntry - 192 - 5760 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.Pending - - - .eSeverity - TcEventSeverity.Error - - + fVelocityFromCam + LREAL + 64 + 192 + + + ItemType + Input + + - Aborted - TcEventEntry - 192 - 5952 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.Aborted - - - .eSeverity - TcEventSeverity.Error - - + Axis + AXIS_REF + 64 + 256 + + + ItemType + InOut + + - SignalWarning - TcEventEntry - 192 - 6144 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.SignalWarning - - - .eSeverity - TcEventSeverity.Error - - + EnO + BOOL + 8 + 320 + + + ItemType + Output + + + + + bBusy + BOOL + 8 + 328 + + + ItemType + Output + + + + + bDone + BOOL + 8 + 336 + + + ItemType + Output + + - InvalidArrayIndex - TcEventEntry - 192 - 6336 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.InvalidArrayIndex - - - .eSeverity - TcEventSeverity.Error - - + bError + BOOL + 8 + 344 + + + ItemType + Output + + - SymbolNotActive - TcEventEntry - 192 - 6528 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.SymbolNotActive - - - .eSeverity - TcEventSeverity.Error - - + nErrorId + UDINT + 32 + 352 + + + ItemType + Output + + - AccessDenied - TcEventEntry - 192 - 6720 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.AccessDenied - - - .eSeverity - TcEventSeverity.Error - - + fbExecuteRiseEdge + R_TRIG + 128 + 384 - LicenseMissing - TcEventEntry - 192 - 6912 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.LicenseMissing - - - .eSeverity - TcEventSeverity.Error - - + fbWriteVelocityToCam + FB_WriteFloatParameter + 1792 + 512 - LicenseExpired - TcEventEntry - 192 - 7104 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.LicenseExpired - - - .eSeverity - TcEventSeverity.Error - - + fbWriteVelocityFromCam + FB_WriteFloatParameter + 1792 + 2304 + + + PouType + FunctionBlock + + + + + FB_HomeToSwitch + 18048 - LicenseExceeded - TcEventEntry - 192 - 7296 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.LicenseExceeded - - - .eSeverity - TcEventSeverity.Error - - + En + BOOL + 8 + 64 + + + ItemType + Input + + - LicenseInvalid - TcEventEntry - 192 - 7488 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.LicenseInvalid - - - .eSeverity - TcEventSeverity.Error - - + bReset + BOOL + 8 + 72 + + + ItemType + Input + + + + + bExecute + BOOL + 8 + 80 + + + ItemType + Input + + + + + bCamSensor + BOOL + 8 + 88 + + + ItemType + Input + + + + + nSearchDirTwoardsCam + MC_Direction + 16 + 96 + + + ItemType + Input + + + + + nSearchDirOffCam + MC_Direction + 16 + 112 + + + ItemType + Input + + - LicenseSystemID - TcEventEntry - 192 - 7680 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.LicenseSystemID - - - .eSeverity - TcEventSeverity.Error - - + fHomePosition + LREAL + 64 + 128 + + + ItemType + Input + + - LicenseNoTimeLimit - TcEventEntry - 192 - 7872 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.LicenseNoTimeLimit - - - .eSeverity - TcEventSeverity.Error - - + fVelocityToCamNC + LREAL + Velcoity when searching for cam + 64 + 192 + + + ItemType + Input + + - LicenseFutureIssue - TcEventEntry - 192 - 8064 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.LicenseFutureIssue - - - .eSeverity - TcEventSeverity.Error - - + fVelocityFromCamNC + LREAL + Velocity after found cam (searching for next signal transition) + 64 + 256 + + + ItemType + Input + + - LicenseTimeTooLong - TcEventEntry - 192 - 8256 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.LicenseTimeTooLong - - - .eSeverity - TcEventSeverity.Error - - + Axis + AXIS_REF + 64 + 320 + + + ItemType + InOut + + - Exception - TcEventEntry - 192 - 8448 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.Exception - - - .eSeverity - TcEventSeverity.Error - - + EnO + BOOL + 8 + 384 + + + ItemType + Output + + - LicenseDuplicated - TcEventEntry - 192 - 8640 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.LicenseDuplicated - - - .eSeverity - TcEventSeverity.Error - - + bBusy + BOOL + 8 + 392 + + + ItemType + Output + + - SignatureInvalid - TcEventEntry - 192 - 8832 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.SignatureInvalid - - - .eSeverity - TcEventSeverity.Error - - + bDone + BOOL + 8 + 400 + + + ItemType + Output + + + + + bHomed + BOOL + 8 + 408 + + + ItemType + Output + + + + + bError + BOOL + 8 + 416 + + + ItemType + Output + + + + + nErrorId + UDINT + 32 + 448 + + + ItemType + Output + + - CertificateInvalid - TcEventEntry - 192 - 9024 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.CertificateInvalid - - - .eSeverity - TcEventSeverity.Error - - + fbHome + MC_Home + 8064 + 512 - LicenseOEMNotFound - TcEventEntry - 192 - 9216 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.LicenseOEMNotFound - - - .eSeverity - TcEventSeverity.Error - - + fbWriteHomeDirCamToNC + FB_WriteParameterInNc_v1_00 + 1728 + 8576 - LicenseRestricted - TcEventEntry - 192 - 9408 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.LicenseRestricted - - - .eSeverity - TcEventSeverity.Error - - + fbWriteHomeDirSyncToNC + FB_WriteParameterInNc_v1_00 + 1728 + 10304 - LicenseDemoDenied - TcEventEntry - 192 - 9600 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.LicenseDemoDenied - - - .eSeverity - TcEventSeverity.Error - - + fbWriteHomeModeToNC + FB_WriteParameterInNc_v1_00 + 1728 + 12032 - InvalidFunctionID - TcEventEntry - 192 - 9792 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.InvalidFunctionID - - - .eSeverity - TcEventSeverity.Error - - + fbWriteHomeVelocitiesToNC + FB_HomeWriteNcVelocities + 4096 + 13760 - OutOfRange - TcEventEntry - 192 - 9984 + bConfigNCDone + BOOL + 8 + 17856 - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.OutOfRange - - - .eSeverity - TcEventSeverity.Error - + false - InvalidAlignment - TcEventEntry - 192 - 10176 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.InvalidAlignment - - - .eSeverity - TcEventSeverity.Error - - + fbRTrigg + R_TRIG + 128 + 17920 + + + + PouType + FunctionBlock + + + + + FB_HomeDirect + 8448 + + En + BOOL + 8 + 64 + + + ItemType + Input + + + + + bReset + BOOL + 8 + 72 + + + ItemType + Input + + + + + bExecute + BOOL + 8 + 80 + + + ItemType + Input + + + + + fHomePosition + LREAL + 64 + 128 + + + ItemType + Input + + + + + Axis + AXIS_REF + 64 + 192 + + + ItemType + InOut + + + + + EnO + BOOL + 8 + 256 + + + ItemType + Output + + + + + bBusy + BOOL + 8 + 264 + + + ItemType + Output + + + + + bDone + BOOL + 8 + 272 + + + ItemType + Output + + + + + bHomed + BOOL + 8 + 280 + + + ItemType + Output + + + + + bError + BOOL + 8 + 288 + + + ItemType + Output + + + + + nErrorId + UDINT + 32 + 320 + + + ItemType + Output + + - LicensePlatform - TcEventEntry - 192 - 10368 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.LicensePlatform - - - .eSeverity - TcEventSeverity.Error - - + fbHome + MC_Home + 8064 + 384 + + + PouType + FunctionBlock + + + + + FB_ReadParameterInNc_v1_00 + 1792 - ForwardPassiveLevel - TcEventEntry - 192 - 10560 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.ForwardPassiveLevel - - - .eSeverity - TcEventSeverity.Error - - + bExecute + BOOL + 8 + 64 + + + ItemType + Input + + - ForwardDispatchLevel - TcEventEntry - 192 - 10752 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.ForwardDispatchLevel - - - .eSeverity - TcEventSeverity.Error - - + nDeviceGroup + UDINT + 16#4000=Axisdata, 16#5000=Encoderdata, 16#6000=Controldata, 16#7000=Drivedata + 32 + 96 + + + ItemType + Input + + - ForwardRealTime - TcEventEntry - 192 - 10944 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.ForwardRealTime - - - .eSeverity - TcEventSeverity.Error - - + nIndexOffset + UDINT + 32 + 128 + + + ItemType + Input + + - InvalidServiceParam - TcEventEntry - 192 - 11136 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.InvalidServiceParam - - - .eSeverity - TcEventSeverity.Error - - + nData + DWORD + 32 + 160 + + + ItemType + Output + + - ListEmpty - TcEventEntry - 192 - 11328 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.ListEmpty - - - .eSeverity - TcEventSeverity.Error - - + bBusy + BOOL + 8 + 192 + + + ItemType + Output + + + + + bDone + BOOL + 8 + 200 + + + ItemType + Output + + + + + bError + BOOL + 8 + 208 + + + ItemType + Output + + + + + nErrorId + UDINT + 32 + 224 + + + ItemType + Output + + - VariableConAlreadyInUse - TcEventEntry - 192 - 11520 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.VariableConAlreadyInUse - - - .eSeverity - TcEventSeverity.Error - - + Axis + AXIS_REF + 64 + 256 + + + ItemType + InOut + + - InvokeIDAlreadyInUse - TcEventEntry - 192 - 11712 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.InvokeIDAlreadyInUse - - - .eSeverity - TcEventSeverity.Error - - + nState + UINT + 16 + 320 - TimeoutElapsed - TcEventEntry - 192 - 11904 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.TimeoutElapsed - - - .eSeverity - TcEventSeverity.Error - - + fbADSREAD + ADSREAD + 1408 + 384 + + + PouType + FunctionBlock + + + + + FB_HomeReadSoftLimEnable + 3904 - Win32Error - TcEventEntry - 192 - 12096 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.Win32Error - - - .eSeverity - TcEventSeverity.Error - - + En + BOOL + 8 + 64 + + + ItemType + Input + + - TimeoutInvalid - TcEventEntry - 192 - 12288 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.TimeoutInvalid - - - .eSeverity - TcEventSeverity.Error - - + bReset + BOOL + 8 + 72 + + + ItemType + Input + + - PortNotOpen - TcEventEntry - 192 - 12480 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.PortNotOpen - - - .eSeverity - TcEventSeverity.Error - - + bExecute + BOOL + 8 + 80 + + + ItemType + Input + + - NoAMSAddr - TcEventEntry - 192 - 12672 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.NoAMSAddr - - - .eSeverity - TcEventSeverity.Error - - + Axis + AXIS_REF + 64 + 128 + + + ItemType + InOut + + + + + EnO + BOOL + 8 + 192 + + + ItemType + Output + + + + + bBusy + BOOL + 8 + 200 + + + ItemType + Output + + + + + bDone + BOOL + 8 + 208 + + + ItemType + Output + + - SyncInternalError - TcEventEntry - 192 - 12864 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.SyncInternalError - - - .eSeverity - TcEventSeverity.Error - - + bError + BOOL + 8 + 216 + + + ItemType + Output + + - AddHash - TcEventEntry - 192 - 13056 - - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.AddHash - - - .eSeverity - TcEventSeverity.Error - - + nErrorId + UDINT + 32 + 224 + + + ItemType + Output + + - RemoveHash - TcEventEntry - 192 - 13248 + bSofLimEnableLow + BOOL + 8 + 256 - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.RemoveHash - - - .eSeverity - TcEventSeverity.Error - + true + + + ItemType + Output + + - NoMoreSymbols - TcEventEntry - 192 - 13440 + bSofLimEnableHigh + BOOL + 8 + 264 - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.NoMoreSymbols - - - .eSeverity - TcEventSeverity.Error - + true + + + ItemType + Output + + - SyncResInvalid - TcEventEntry - 192 - 13632 + fbReadSoftLimEnableLow + FB_ReadParameterInNc_v1_00 + 1792 + 320 + + + fbReadSoftLimEnableHigh + FB_ReadParameterInNc_v1_00 + 1792 + 2112 + + + + PouType + FunctionBlock + + + + + FB_HomeWriteSoftLimEnable + 3840 + + En + BOOL + 8 + 64 + + + ItemType + Input + + + + + bReset + BOOL + 8 + 72 + + + ItemType + Input + + + + + bExecute + BOOL + 8 + 80 + + + ItemType + Input + + + + + bSofLimEnableLow + BOOL + 8 + 88 - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.SyncResInvalid - - - .eSeverity - TcEventSeverity.Error - + true + + + ItemType + Input + + - SyncPortLocked - TcEventEntry - 192 - 13824 + bSofLimEnableHigh + BOOL + 8 + 96 - - .uuidEventClass.Data1 - 2104885350 - - - .uuidEventClass.Data2 - 54131 - - - .uuidEventClass.Data3 - 18956 - - - .uuidEventClass.Data4[0] - 176 - - - .uuidEventClass.Data4[1] - 241 - - - .uuidEventClass.Data4[2] - 50 - - - .uuidEventClass.Data4[3] - 139 - - - .uuidEventClass.Data4[4] - 22 - - - .uuidEventClass.Data4[5] - 32 - - - .uuidEventClass.Data4[6] - 176 - - - .uuidEventClass.Data4[7] - 240 - - - .nEventID - E_TcGeneralAdsEventClass.SyncPortLocked - - - .eSeverity - TcEventSeverity.Error - + true + + + ItemType + Input + + + + + Axis + AXIS_REF + 64 + 128 + + + ItemType + InOut + + + + + EnO + BOOL + 8 + 192 + + + ItemType + Output + + + + + bBusy + BOOL + 8 + 200 + + + ItemType + Output + + + + + bDone + BOOL + 8 + 208 + + + ItemType + Output + + + + + bError + BOOL + 8 + 216 + + + ItemType + Output + + + + + nErrorId + UDINT + 32 + 224 + + + ItemType + Output + + + + + fbExecuteRiseEdge + R_TRIG + 128 + 256 + + + fbWriteSoftLimEnableLow + FB_WriteParameterInNc_v1_00 + 1728 + 384 + + + fbWriteSoftLimEnableHigh + FB_WriteParameterInNc_v1_00 + 1728 + 2112 - TcTypeSystem - - - signature_flag - 33554432 - - - checksuperglobal - - - show - - - no-analysis - - - TcEventClass - TcGeneralAdsEventClass + PouType + FunctionBlock - TcRouterEventClass - TcRouterEventClass - - NoLockedMemory - Locked memory cannot be allocated. - Error - - - ResizeMemory - The router memory size could not be changed. - Error - - - MailboxFull - The mailbox has reached the maximum number of possible messages. - Error - - - DebugBoxFull - The debug mailbox has reached the maximum number of possible messages. - Error - - - UnknownPortType - The port type is unknown. - Error - - - NotInitialized - TwinCAT Router is not initialised. - Error - - - PortAlreadyInUse - The port number is already assigned. - Error - - - NotRegistered - The port is not registered. - Error - - - NoMoreQueues - The maximum number of ports has been reached. - Error - - - InvalidPort - The port is invalid. - Error - - - NotActivated - TwinCAT Router is not active. - Error - - - FragmentBoxFull - The mailbox has reached the maximum number for fragmented messages. - Error - - - FragmentTimeout - A fragment timeout has occurred. - Error - - - ToBeRemoved - The port is removed. - Error - - - - Lockierter Speicher kann nicht zugewiesen werden. - - - Die Größe des Routerspeichers konnte nicht geändert werden. - - - Das Postfach hat die maximale Anzahl der möglichen Meldungen erreicht. - - - Das Debug Postfach hat die maximale Anzahl der möglichen Meldungen erreicht. - - - Der Porttyp ist unbekannt. - - - Der TwinCAT Router ist nicht initialisiert. - - - Die Portnummer ist bereits vergeben. - - - Der Port ist nicht registriert. - - - Die maximale Portanzahl ist erreicht. - - - Der Port ist ungültig. - - - Der TwinCAT Router ist nicht aktiv. - - - Das Postfach hat die maximale Anzahl für fragmentierte Nachrichten erreicht. - - - Fragment Timeout aufgetreten. - - - Port wird entfernt. - - - - - - - - - ST_TcRouterEventClass - 2688 + FB_ReadFloatParameter + 1856 - NoLockedMemory - TcEventEntry - 192 - 0 - - - .uuidEventClass.Data1 - 3881394266 - - - .uuidEventClass.Data2 - 9025 - - - .uuidEventClass.Data3 - 18684 - - - .uuidEventClass.Data4[0] - 159 - - - .uuidEventClass.Data4[1] - 63 - - - .uuidEventClass.Data4[2] - 200 - - - .uuidEventClass.Data4[3] - 250 - - - .uuidEventClass.Data4[4] - 64 - - - .uuidEventClass.Data4[5] - 92 - - - .uuidEventClass.Data4[6] - 75 - - - .uuidEventClass.Data4[7] - 36 - - - .nEventID - E_TcRouterEventClass.NoLockedMemory - - - .eSeverity - TcEventSeverity.Error - - + bExecute + BOOL + 8 + 64 + + + ItemType + Input + + - ResizeMemory - TcEventEntry - 192 + nDeviceGroup + UDINT + 16#4000=Axisdata, 16#5000=Encoderdata, 16#6000=Controldata, 16#7000=Drivedata + 32 + 96 + + + ItemType + Input + + + + + nIndexOffset + UDINT + 32 + 128 + + + ItemType + Input + + + + + nData + LREAL + 64 192 - - - .uuidEventClass.Data1 - 3881394266 - - - .uuidEventClass.Data2 - 9025 - - - .uuidEventClass.Data3 - 18684 - - - .uuidEventClass.Data4[0] - 159 - - - .uuidEventClass.Data4[1] - 63 - - - .uuidEventClass.Data4[2] - 200 - - - .uuidEventClass.Data4[3] - 250 - - - .uuidEventClass.Data4[4] - 64 - - - .uuidEventClass.Data4[5] - 92 - - - .uuidEventClass.Data4[6] - 75 - - - .uuidEventClass.Data4[7] - 36 - - - .nEventID - E_TcRouterEventClass.ResizeMemory - - - .eSeverity - TcEventSeverity.Error - - + + + ItemType + Output + + - MailboxFull - TcEventEntry - 192 - 384 - - - .uuidEventClass.Data1 - 3881394266 - - - .uuidEventClass.Data2 - 9025 - - - .uuidEventClass.Data3 - 18684 - - - .uuidEventClass.Data4[0] - 159 - - - .uuidEventClass.Data4[1] - 63 - - - .uuidEventClass.Data4[2] - 200 - - - .uuidEventClass.Data4[3] - 250 - - - .uuidEventClass.Data4[4] - 64 - - - .uuidEventClass.Data4[5] - 92 - - - .uuidEventClass.Data4[6] - 75 - - - .uuidEventClass.Data4[7] - 36 - - - .nEventID - E_TcRouterEventClass.MailboxFull - - - .eSeverity - TcEventSeverity.Error - - + bBusy + BOOL + 8 + 256 + + + ItemType + Output + + - DebugBoxFull - TcEventEntry - 192 - 576 - - - .uuidEventClass.Data1 - 3881394266 - - - .uuidEventClass.Data2 - 9025 - - - .uuidEventClass.Data3 - 18684 - - - .uuidEventClass.Data4[0] - 159 - - - .uuidEventClass.Data4[1] - 63 - - - .uuidEventClass.Data4[2] - 200 - - - .uuidEventClass.Data4[3] - 250 - - - .uuidEventClass.Data4[4] - 64 - - - .uuidEventClass.Data4[5] - 92 - - - .uuidEventClass.Data4[6] - 75 - - - .uuidEventClass.Data4[7] - 36 - - - .nEventID - E_TcRouterEventClass.DebugBoxFull - - - .eSeverity - TcEventSeverity.Error - - + bDone + BOOL + 8 + 264 + + + ItemType + Output + + + + + bError + BOOL + 8 + 272 + + + ItemType + Output + + + + + nErrorId + UDINT + 32 + 288 + + + ItemType + Output + + - UnknownPortType - TcEventEntry - 192 - 768 - - - .uuidEventClass.Data1 - 3881394266 - - - .uuidEventClass.Data2 - 9025 - - - .uuidEventClass.Data3 - 18684 - - - .uuidEventClass.Data4[0] - 159 - - - .uuidEventClass.Data4[1] - 63 - - - .uuidEventClass.Data4[2] - 200 - - - .uuidEventClass.Data4[3] - 250 - - - .uuidEventClass.Data4[4] - 64 - - - .uuidEventClass.Data4[5] - 92 - - - .uuidEventClass.Data4[6] - 75 - - - .uuidEventClass.Data4[7] - 36 - - - .nEventID - E_TcRouterEventClass.UnknownPortType - - - .eSeverity - TcEventSeverity.Error - - + Axis + AXIS_REF + 64 + 320 + + + ItemType + InOut + + - NotInitialized - TcEventEntry - 192 - 960 - - - .uuidEventClass.Data1 - 3881394266 - - - .uuidEventClass.Data2 - 9025 - - - .uuidEventClass.Data3 - 18684 - - - .uuidEventClass.Data4[0] - 159 - - - .uuidEventClass.Data4[1] - 63 - - - .uuidEventClass.Data4[2] - 200 - - - .uuidEventClass.Data4[3] - 250 - - - .uuidEventClass.Data4[4] - 64 - - - .uuidEventClass.Data4[5] - 92 - - - .uuidEventClass.Data4[6] - 75 - - - .uuidEventClass.Data4[7] - 36 - - - .nEventID - E_TcRouterEventClass.NotInitialized - - - .eSeverity - TcEventSeverity.Error - - + nState + UINT + 16 + 384 - PortAlreadyInUse - TcEventEntry - 192 - 1152 - - - .uuidEventClass.Data1 - 3881394266 - - - .uuidEventClass.Data2 - 9025 - - - .uuidEventClass.Data3 - 18684 - - - .uuidEventClass.Data4[0] - 159 - - - .uuidEventClass.Data4[1] - 63 - - - .uuidEventClass.Data4[2] - 200 - - - .uuidEventClass.Data4[3] - 250 - - - .uuidEventClass.Data4[4] - 64 - - - .uuidEventClass.Data4[5] - 92 - - - .uuidEventClass.Data4[6] - 75 - - - .uuidEventClass.Data4[7] - 36 - - - .nEventID - E_TcRouterEventClass.PortAlreadyInUse - - - .eSeverity - TcEventSeverity.Error - - + fbADSREAD + ADSREAD + 1408 + 448 + + + PouType + FunctionBlock + + + + + FB_HomeReadNcVelocities + 4096 - NotRegistered - TcEventEntry - 192 - 1344 - - - .uuidEventClass.Data1 - 3881394266 - - - .uuidEventClass.Data2 - 9025 - - - .uuidEventClass.Data3 - 18684 - - - .uuidEventClass.Data4[0] - 159 - - - .uuidEventClass.Data4[1] - 63 - - - .uuidEventClass.Data4[2] - 200 - - - .uuidEventClass.Data4[3] - 250 - - - .uuidEventClass.Data4[4] - 64 - - - .uuidEventClass.Data4[5] - 92 - - - .uuidEventClass.Data4[6] - 75 - - - .uuidEventClass.Data4[7] - 36 - - - .nEventID - E_TcRouterEventClass.NotRegistered - - - .eSeverity - TcEventSeverity.Error - - + En + BOOL + 8 + 64 + + + ItemType + Input + + - NoMoreQueues - TcEventEntry - 192 - 1536 - - - .uuidEventClass.Data1 - 3881394266 - - - .uuidEventClass.Data2 - 9025 - - - .uuidEventClass.Data3 - 18684 - - - .uuidEventClass.Data4[0] - 159 - - - .uuidEventClass.Data4[1] - 63 - - - .uuidEventClass.Data4[2] - 200 - - - .uuidEventClass.Data4[3] - 250 - - - .uuidEventClass.Data4[4] - 64 - - - .uuidEventClass.Data4[5] - 92 - - - .uuidEventClass.Data4[6] - 75 - - - .uuidEventClass.Data4[7] - 36 - - - .nEventID - E_TcRouterEventClass.NoMoreQueues - - - .eSeverity - TcEventSeverity.Error - - + bReset + BOOL + 8 + 72 + + + ItemType + Input + + - InvalidPort - TcEventEntry - 192 - 1728 - - - .uuidEventClass.Data1 - 3881394266 - - - .uuidEventClass.Data2 - 9025 - - - .uuidEventClass.Data3 - 18684 - - - .uuidEventClass.Data4[0] - 159 - - - .uuidEventClass.Data4[1] - 63 - - - .uuidEventClass.Data4[2] - 200 - - - .uuidEventClass.Data4[3] - 250 - - - .uuidEventClass.Data4[4] - 64 - - - .uuidEventClass.Data4[5] - 92 - - - .uuidEventClass.Data4[6] - 75 - - - .uuidEventClass.Data4[7] - 36 - - - .nEventID - E_TcRouterEventClass.InvalidPort - - - .eSeverity - TcEventSeverity.Error - - + bExecute + BOOL + 8 + 80 + + + ItemType + Input + + - NotActivated - TcEventEntry - 192 - 1920 - - - .uuidEventClass.Data1 - 3881394266 - - - .uuidEventClass.Data2 - 9025 - - - .uuidEventClass.Data3 - 18684 - - - .uuidEventClass.Data4[0] - 159 - - - .uuidEventClass.Data4[1] - 63 - - - .uuidEventClass.Data4[2] - 200 - - - .uuidEventClass.Data4[3] - 250 - - - .uuidEventClass.Data4[4] - 64 - - - .uuidEventClass.Data4[5] - 92 - - - .uuidEventClass.Data4[6] - 75 - - - .uuidEventClass.Data4[7] - 36 - - - .nEventID - E_TcRouterEventClass.NotActivated - - - .eSeverity - TcEventSeverity.Error - - + Axis + AXIS_REF + 64 + 128 + + + ItemType + InOut + + + + + EnO + BOOL + 8 + 192 + + + ItemType + Output + + + + + bBusy + BOOL + 8 + 200 + + + ItemType + Output + + + + + bDone + BOOL + 8 + 208 + + + ItemType + Output + + + + + bError + BOOL + 8 + 216 + + + ItemType + Output + + - FragmentBoxFull - TcEventEntry - 192 - 2112 - - - .uuidEventClass.Data1 - 3881394266 - - - .uuidEventClass.Data2 - 9025 - - - .uuidEventClass.Data3 - 18684 - - - .uuidEventClass.Data4[0] - 159 - - - .uuidEventClass.Data4[1] - 63 - - - .uuidEventClass.Data4[2] - 200 - - - .uuidEventClass.Data4[3] - 250 - - - .uuidEventClass.Data4[4] - 64 - - - .uuidEventClass.Data4[5] - 92 - - - .uuidEventClass.Data4[6] - 75 - - - .uuidEventClass.Data4[7] - 36 - - - .nEventID - E_TcRouterEventClass.FragmentBoxFull - - - .eSeverity - TcEventSeverity.Error - - + nErrorId + UDINT + 32 + 224 + + + ItemType + Output + + - FragmentTimeout - TcEventEntry - 192 - 2304 - - - .uuidEventClass.Data1 - 3881394266 - - - .uuidEventClass.Data2 - 9025 - - - .uuidEventClass.Data3 - 18684 - - - .uuidEventClass.Data4[0] - 159 - - - .uuidEventClass.Data4[1] - 63 - - - .uuidEventClass.Data4[2] - 200 - - - .uuidEventClass.Data4[3] - 250 - - - .uuidEventClass.Data4[4] - 64 - - - .uuidEventClass.Data4[5] - 92 - - - .uuidEventClass.Data4[6] - 75 - - - .uuidEventClass.Data4[7] - 36 - - - .nEventID - E_TcRouterEventClass.FragmentTimeout - - - .eSeverity - TcEventSeverity.Error - - + fVelocityToCam + LREAL + 64 + 256 + + + ItemType + Output + + - ToBeRemoved - TcEventEntry - 192 - 2496 - - - .uuidEventClass.Data1 - 3881394266 - - - .uuidEventClass.Data2 - 9025 - - - .uuidEventClass.Data3 - 18684 - - - .uuidEventClass.Data4[0] - 159 - - - .uuidEventClass.Data4[1] - 63 - - - .uuidEventClass.Data4[2] - 200 - - - .uuidEventClass.Data4[3] - 250 - - - .uuidEventClass.Data4[4] - 64 - - - .uuidEventClass.Data4[5] - 92 - - - .uuidEventClass.Data4[6] - 75 - - - .uuidEventClass.Data4[7] - 36 - - - .nEventID - E_TcRouterEventClass.ToBeRemoved - - - .eSeverity - TcEventSeverity.Error - - + fVelocityFromCam + LREAL + 64 + 320 + + + ItemType + Output + + + + + fbReadVelocityToCam + FB_ReadFloatParameter + 1856 + 384 + + + fbReadVelocityFromCam + FB_ReadFloatParameter + 1856 + 2240 - TcTypeSystem - - - signature_flag - 33554432 - - - checksuperglobal - - - show - - - no-analysis - - - TcEventClass - TcRouterEventClass + PouType + FunctionBlock - TcRTimeEventClass - TcRTimeEventClass - - InternalError - Internal error in the real-time system. - Error - - - BadTimerPeriods - Timer value is not valid. - Error - - - InvalidTaskPtr - The task pointer has the invalid value 0 (null). - Error - - - InvalidStackPtr - The stack pointer has the invalid value 0 (null). - Error - - - PrioExists - The task priority is already assigned. - Error - - - NoMoreTCB - No free TCB (Task Control Block) available. The maximum number of TCBs is 64. - Error - - - NoMoreSemas - No free semaphores available. The maximum number of semaphores is 64. - Error - - - NoMoreQueues - No free space available in the queue. The maximum number of positions in the queue is 64. - Error - - - ExtIRQAlreadyDef - An external synchronization interrupt is already applied. - Error - - - ExtIRQNotDef - No external synchronziation interrupt applied. - Error - - - ExtIRQInstallFailed - Application of the external synchronization interrupt failed. - Error - - - IRQNotLessOrEqual - Call of a service function in the wrong context. - Error - - - VMXNotSupported - Intel VT-x extension is not supported. - Error - - - VMXDisabled - Intel VT-x extension is not enabled in the BIOS. - Error - - - VMXControlsMissing - Missing function in Intel VT-x extension. - Error - - - VMXEnableFails - Activation of Intel VT-x fails. - Error - - - - Interner Fehler im Echtzeit-System. - - - Timer-Wert ist nicht gültig. - - - Task-Pointer hat den ungültigen Wert 0 (null). - - - Stack-Pointer hat den ungültigen Wert 0 (null). - - - Die Task Priority ist bereits vergeben. - - - Kein freier TCB (Task Control Block) verfügbar. Maximale Anzahl von TCBs beträgt 64. - - - Keine freien Semaphoren zur Verfügung. Maximale Anzahl der Semaphoren beträgt 64. - - - Kein freier Platz in der Warteschlange zur Verfügung. Maximale Anzahl der Plätze in der Warteschlange beträgt 64. - - - Ein externer Synchronisations-Interrupt wird bereits angewandt. - - - Kein externer Synchronisations-Interrupt angewandt. - - - Anwendung des externen Synchronisations-Interrupts ist fehlgeschlagen. - - - Aufruf einer Service-Funktion im falschen Kontext - - - Intel VT-x Erweiterung wird nicht unterstützt. - - - Intel VT-x Erweiterung ist nicht aktiviert im BIOS. - - - Fehlende Funktion in Intel VT-x Erweiterung. - - - Aktivieren von Intel VT-x schlägt fehl. - - - - - - - - - - ST_TcRTimeEventClass - 3072 + FB_HomePrepare + 20544 - InternalError - TcEventEntry - 192 - 0 - - - .uuidEventClass.Data1 - 2465223571 - - - .uuidEventClass.Data2 - 1704 - - - .uuidEventClass.Data3 - 18626 - - - .uuidEventClass.Data4[0] - 136 - - - .uuidEventClass.Data4[1] - 113 - - - .uuidEventClass.Data4[2] - 234 - - - .uuidEventClass.Data4[3] - 163 - - - .uuidEventClass.Data4[4] - 140 - - - .uuidEventClass.Data4[5] - 30 - - - .uuidEventClass.Data4[6] - 57 - - - .uuidEventClass.Data4[7] - 144 - - - .nEventID - E_TcRTimeEventClass.InternalError - - - .eSeverity - TcEventSeverity.Error - - + En + BOOL + 8 + 64 + + + ItemType + Input + + + + + bReset + BOOL + 8 + 72 + + + ItemType + Input + + + + + bExecute + BOOL + 8 + 80 + + + ItemType + Input + + + + + nCmdData + UINT + 16 + 96 + + + ItemType + Input + + + + + Axis + AXIS_REF + 64 + 128 + + + ItemType + InOut + + + + + EnO + BOOL + 8 + 192 + + + ItemType + Output + + - BadTimerPeriods - TcEventEntry - 192 - 192 - - - .uuidEventClass.Data1 - 2465223571 - - - .uuidEventClass.Data2 - 1704 - - - .uuidEventClass.Data3 - 18626 - - - .uuidEventClass.Data4[0] - 136 - - - .uuidEventClass.Data4[1] - 113 - - - .uuidEventClass.Data4[2] - 234 - - - .uuidEventClass.Data4[3] - 163 - - - .uuidEventClass.Data4[4] - 140 - - - .uuidEventClass.Data4[5] - 30 - - - .uuidEventClass.Data4[6] - 57 - - - .uuidEventClass.Data4[7] - 144 - - - .nEventID - E_TcRTimeEventClass.BadTimerPeriods - - - .eSeverity - TcEventSeverity.Error - - + bBusy + BOOL + 8 + 200 + + + ItemType + Output + + - InvalidTaskPtr - TcEventEntry - 192 - 384 - - - .uuidEventClass.Data1 - 2465223571 - - - .uuidEventClass.Data2 - 1704 - - - .uuidEventClass.Data3 - 18626 - - - .uuidEventClass.Data4[0] - 136 - - - .uuidEventClass.Data4[1] - 113 - - - .uuidEventClass.Data4[2] - 234 - - - .uuidEventClass.Data4[3] - 163 - - - .uuidEventClass.Data4[4] - 140 - - - .uuidEventClass.Data4[5] - 30 - - - .uuidEventClass.Data4[6] - 57 - - - .uuidEventClass.Data4[7] - 144 - - - .nEventID - E_TcRTimeEventClass.InvalidTaskPtr - - - .eSeverity - TcEventSeverity.Error - - + bDone + BOOL + 8 + 208 + + + ItemType + Output + + - InvalidStackPtr - TcEventEntry - 192 - 576 - - - .uuidEventClass.Data1 - 2465223571 - - - .uuidEventClass.Data2 - 1704 - - - .uuidEventClass.Data3 - 18626 - - - .uuidEventClass.Data4[0] - 136 - - - .uuidEventClass.Data4[1] - 113 - - - .uuidEventClass.Data4[2] - 234 - - - .uuidEventClass.Data4[3] - 163 - - - .uuidEventClass.Data4[4] - 140 - - - .uuidEventClass.Data4[5] - 30 - - - .uuidEventClass.Data4[6] - 57 - - - .uuidEventClass.Data4[7] - 144 - - - .nEventID - E_TcRTimeEventClass.InvalidStackPtr - - - .eSeverity - TcEventSeverity.Error - - + bError + BOOL + 8 + 216 + + + ItemType + Output + + - PrioExists - TcEventEntry - 192 - 768 + nErrorId + UDINT + 32 + 224 + + + ItemType + Output + + + + + bSofLimEnableLowOriginal + BOOL + 8 + 256 - - .uuidEventClass.Data1 - 2465223571 - - - .uuidEventClass.Data2 - 1704 - - - .uuidEventClass.Data3 - 18626 - - - .uuidEventClass.Data4[0] - 136 - - - .uuidEventClass.Data4[1] - 113 - - - .uuidEventClass.Data4[2] - 234 - - - .uuidEventClass.Data4[3] - 163 - - - .uuidEventClass.Data4[4] - 140 - - - .uuidEventClass.Data4[5] - 30 - - - .uuidEventClass.Data4[6] - 57 - - - .uuidEventClass.Data4[7] - 144 - - - .nEventID - E_TcRTimeEventClass.PrioExists - - - .eSeverity - TcEventSeverity.Error - + true + + + ItemType + Output + + - NoMoreTCB - TcEventEntry - 192 - 960 + bSofLimEnableHighOriginal + BOOL + 8 + 264 - - .uuidEventClass.Data1 - 2465223571 - - - .uuidEventClass.Data2 - 1704 - - - .uuidEventClass.Data3 - 18626 - - - .uuidEventClass.Data4[0] - 136 - - - .uuidEventClass.Data4[1] - 113 - - - .uuidEventClass.Data4[2] - 234 - - - .uuidEventClass.Data4[3] - 163 - - - .uuidEventClass.Data4[4] - 140 - - - .uuidEventClass.Data4[5] - 30 - - - .uuidEventClass.Data4[6] - 57 - - - .uuidEventClass.Data4[7] - 144 - - - .nEventID - E_TcRTimeEventClass.NoMoreTCB - - - .eSeverity - TcEventSeverity.Error - + true + + + ItemType + Output + + - NoMoreSemas - TcEventEntry - 192 - 1152 + fVelocityToCam + LREAL + 64 + 320 - - .uuidEventClass.Data1 - 2465223571 - - - .uuidEventClass.Data2 - 1704 - - - .uuidEventClass.Data3 - 18626 - - - .uuidEventClass.Data4[0] - 136 - - - .uuidEventClass.Data4[1] - 113 - - - .uuidEventClass.Data4[2] - 234 - - - .uuidEventClass.Data4[3] - 163 - - - .uuidEventClass.Data4[4] - 140 - - - .uuidEventClass.Data4[5] - 30 - - - .uuidEventClass.Data4[6] - 57 - - - .uuidEventClass.Data4[7] - 144 - - - .nEventID - E_TcRTimeEventClass.NoMoreSemas - - - .eSeverity - TcEventSeverity.Error - + 0 + + + ItemType + Output + + - NoMoreQueues - TcEventEntry - 192 - 1344 + fVelocityFromCam + LREAL + 64 + 384 - - .uuidEventClass.Data1 - 2465223571 - - - .uuidEventClass.Data2 - 1704 - - - .uuidEventClass.Data3 - 18626 - - - .uuidEventClass.Data4[0] - 136 - - - .uuidEventClass.Data4[1] - 113 - - - .uuidEventClass.Data4[2] - 234 - - - .uuidEventClass.Data4[3] - 163 - - - .uuidEventClass.Data4[4] - 140 - - - .uuidEventClass.Data4[5] - 30 - - - .uuidEventClass.Data4[6] - 57 - - - .uuidEventClass.Data4[7] - 144 - - - .nEventID - E_TcRTimeEventClass.NoMoreQueues - - - .eSeverity - TcEventSeverity.Error - + 0 + + + ItemType + Output + + + + + fbHomeReadSoftLimEnable + FB_HomeReadSoftLimEnable + 3904 + 448 + + + fbHomeDisableSoftLimEnable + FB_HomeWriteSoftLimEnable + 3840 + 4352 + + + fbHomeReadNCVelocities + FB_HomeReadNcVelocities + 4096 + 8192 + + + fbHomeResetCalibrationFlag + MC_Home + Only used for reset of calibration flag + 8064 + 12288 - ExtIRQAlreadyDef - TcEventEntry - 192 - 1536 - - - .uuidEventClass.Data1 - 2465223571 - - - .uuidEventClass.Data2 - 1704 - - - .uuidEventClass.Data3 - 18626 - - - .uuidEventClass.Data4[0] - 136 - - - .uuidEventClass.Data4[1] - 113 - - - .uuidEventClass.Data4[2] - 234 - - - .uuidEventClass.Data4[3] - 163 - - - .uuidEventClass.Data4[4] - 140 - - - .uuidEventClass.Data4[5] - 30 - - - .uuidEventClass.Data4[6] - 57 - - - .uuidEventClass.Data4[7] - 144 - - - .nEventID - E_TcRTimeEventClass.ExtIRQAlreadyDef - - - .eSeverity - TcEventSeverity.Error - - + fbExecuteRiseEdge + R_TRIG + 128 + 20352 - ExtIRQNotDef - TcEventEntry - 192 - 1728 + bExecuteReadNC + BOOL + 8 + 20480 - - .uuidEventClass.Data1 - 2465223571 - - - .uuidEventClass.Data2 - 1704 - - - .uuidEventClass.Data3 - 18626 - - - .uuidEventClass.Data4[0] - 136 - - - .uuidEventClass.Data4[1] - 113 - - - .uuidEventClass.Data4[2] - 234 - - - .uuidEventClass.Data4[3] - 163 - - - .uuidEventClass.Data4[4] - 140 - - - .uuidEventClass.Data4[5] - 30 - - - .uuidEventClass.Data4[6] - 57 - - - .uuidEventClass.Data4[7] - 144 - - - .nEventID - E_TcRTimeEventClass.ExtIRQNotDef - - - .eSeverity - TcEventSeverity.Error - + false - ExtIRQInstallFailed - TcEventEntry - 192 - 1920 + bExecuteWriteNC + BOOL + 8 + 20488 - - .uuidEventClass.Data1 - 2465223571 - - - .uuidEventClass.Data2 - 1704 - - - .uuidEventClass.Data3 - 18626 - - - .uuidEventClass.Data4[0] - 136 - - - .uuidEventClass.Data4[1] - 113 - - - .uuidEventClass.Data4[2] - 234 - - - .uuidEventClass.Data4[3] - 163 - - - .uuidEventClass.Data4[4] - 140 - - - .uuidEventClass.Data4[5] - 30 - - - .uuidEventClass.Data4[6] - 57 - - - .uuidEventClass.Data4[7] - 144 - - - .nEventID - E_TcRTimeEventClass.ExtIRQInstallFailed - - - .eSeverity - TcEventSeverity.Error - + false - IRQNotLessOrEqual - TcEventEntry - 192 - 2112 + nState + INT + 16 + 20496 - - .uuidEventClass.Data1 - 2465223571 - - - .uuidEventClass.Data2 - 1704 - - - .uuidEventClass.Data3 - 18626 - - - .uuidEventClass.Data4[0] - 136 - - - .uuidEventClass.Data4[1] - 113 - - - .uuidEventClass.Data4[2] - 234 - - - .uuidEventClass.Data4[3] - 163 - - - .uuidEventClass.Data4[4] - 140 - - - .uuidEventClass.Data4[5] - 30 - - - .uuidEventClass.Data4[6] - 57 - - - .uuidEventClass.Data4[7] - 144 - - - .nEventID - E_TcRTimeEventClass.IRQNotLessOrEqual - - - .eSeverity - TcEventSeverity.Error - + 0 + + + PouType + FunctionBlock + + + + + FB_HomeFinish + 4288 + + En + BOOL + 8 + 64 + + + ItemType + Input + + + - VMXNotSupported - TcEventEntry - 192 - 2304 + bReset + BOOL + 8 + 72 + + + ItemType + Input + + + + + bExecute + BOOL + 8 + 80 + + + ItemType + Input + + + + + nCmdData + UINT + 16 + 96 + + + ItemType + Input + + + + + bSofLimEnableLow + BOOL + 8 + 112 - - .uuidEventClass.Data1 - 2465223571 - - - .uuidEventClass.Data2 - 1704 - - - .uuidEventClass.Data3 - 18626 - - - .uuidEventClass.Data4[0] - 136 - - - .uuidEventClass.Data4[1] - 113 - - - .uuidEventClass.Data4[2] - 234 - - - .uuidEventClass.Data4[3] - 163 - - - .uuidEventClass.Data4[4] - 140 - - - .uuidEventClass.Data4[5] - 30 - - - .uuidEventClass.Data4[6] - 57 - - - .uuidEventClass.Data4[7] - 144 - - - .nEventID - E_TcRTimeEventClass.VMXNotSupported - - - .eSeverity - TcEventSeverity.Error - + true + + + ItemType + Input + + - VMXDisabled - TcEventEntry - 192 - 2496 + bSofLimEnableHigh + BOOL + 8 + 120 - - .uuidEventClass.Data1 - 2465223571 - - - .uuidEventClass.Data2 - 1704 - - - .uuidEventClass.Data3 - 18626 - - - .uuidEventClass.Data4[0] - 136 - - - .uuidEventClass.Data4[1] - 113 - - - .uuidEventClass.Data4[2] - 234 - - - .uuidEventClass.Data4[3] - 163 - - - .uuidEventClass.Data4[4] - 140 - - - .uuidEventClass.Data4[5] - 30 - - - .uuidEventClass.Data4[6] - 57 - - - .uuidEventClass.Data4[7] - 144 - - - .nEventID - E_TcRTimeEventClass.VMXDisabled - - - .eSeverity - TcEventSeverity.Error - + true + + + ItemType + Input + + + + + Axis + AXIS_REF + 64 + 128 + + + ItemType + InOut + + + + + EnO + BOOL + 8 + 192 + + + ItemType + Output + + + + + bBusy + BOOL + 8 + 200 + + + ItemType + Output + + + + + bDone + BOOL + 8 + 208 + + + ItemType + Output + + + + + bError + BOOL + 8 + 216 + + + ItemType + Output + + + + + nErrorId + UDINT + 32 + 224 + + + ItemType + Output + + + + + fbHomewriteSoftLimEnable + FB_HomeWriteSoftLimEnable + 3840 + 256 - VMXControlsMissing - TcEventEntry - 192 - 2688 + fbExecuteRiseEdge + R_TRIG + 128 + 4096 + + + bExecuteWriteNC + BOOL + 8 + 4224 - - .uuidEventClass.Data1 - 2465223571 - - - .uuidEventClass.Data2 - 1704 - - - .uuidEventClass.Data3 - 18626 - - - .uuidEventClass.Data4[0] - 136 - - - .uuidEventClass.Data4[1] - 113 - - - .uuidEventClass.Data4[2] - 234 - - - .uuidEventClass.Data4[3] - 163 - - - .uuidEventClass.Data4[4] - 140 - - - .uuidEventClass.Data4[5] - 30 - - - .uuidEventClass.Data4[6] - 57 - - - .uuidEventClass.Data4[7] - 144 - - - .nEventID - E_TcRTimeEventClass.VMXControlsMissing - - - .eSeverity - TcEventSeverity.Error - + false - VMXEnableFails - TcEventEntry - 192 - 2880 + nState + INT + 16 + 4240 - - .uuidEventClass.Data1 - 2465223571 - - - .uuidEventClass.Data2 - 1704 - - - .uuidEventClass.Data3 - 18626 - - - .uuidEventClass.Data4[0] - 136 - - - .uuidEventClass.Data4[1] - 113 - - - .uuidEventClass.Data4[2] - 234 - - - .uuidEventClass.Data4[3] - 163 - - - .uuidEventClass.Data4[4] - 140 - - - .uuidEventClass.Data4[5] - 30 - - - .uuidEventClass.Data4[6] - 57 - - - .uuidEventClass.Data4[7] - 144 - - - .nEventID - E_TcRTimeEventClass.VMXEnableFails - - - .eSeverity - TcEventSeverity.Error - + 0 - TcTypeSystem - - - signature_flag - 33554432 - - - checksuperglobal - - - show - - - no-analysis - - - TcEventClass - TcRTimeEventClass + PouType + FunctionBlock - Win32EventClass - Win32EventClass - - ERROR_SUCCESS - The operation completed successfully. - Verbose - - - ERROR_INVALID_FUNCTION - Incorrect function. - Error - - - ERROR_FILE_NOT_FOUND - The system cannot find the file specified. - Error - - - ERROR_PATH_NOT_FOUND - The system cannot find the path specified. - Error - - - ERROR_TOO_MANY_OPEN_FILES - The system cannot open the file. - Error - - - ERROR_ACCESS_DENIED - Access is denied. - Error - - - ERROR_INVALID_HANDLE - The handle is invalid. - Error - - - ERROR_NOT_ENOUGH_MEMORY - Not enough storage is available to process this command. - Error - - - ERROR_INVALID_DATA - The data is invalid. - Error - - - ERROR_NOT_READY - The device is not ready. - Error - - - ERROR_BAD_COMMAND - The device does not recognize the command. - Error - - - ERROR_CRC - Data error (cyclic redundancy check). - Error - - - ERROR_BAD_LENGTH - The program issued a command but the command length is incorrect. - Error - - - ERROR_WRITE_FAULT - The system cannot write to the specified device. - Error - - - ERROR_READ_FAULT - The system cannot read from the specified device. - Error - - - ERROR_GEN_FAILURE - A device attached to the system is not functioning. - Error - - - ERROR_NOT_SUPPORTED - The request is not supported. - Error - - - ERROR_REM_NOT_LIST - The remote computer is not available. - Error - - - ERROR_DUP_NAME - A duplicate name exists on the network. - Error - - - ERROR_BAD_NETPATH - The network path was not found. - Error - - - ERROR_NETWORK_BUSY - The network is busy. - Error - - - ERROR_DEV_NOT_EXIST - The specified network resource or device is no longer available. - Error - - - ERROR_FILE_EXISTS - The file exists. - Error - - - ERROR_CANNOT_MAKE - The directory or file cannot be created. - Error - - - ERROR_ALREADY_ASSIGNED - The local device name is already in use. - Error - - - ERROR_INVALID_PASSWORD - The specified network password is not correct. - Error - - - ERROR_INVALID_PARAMETER - The parameter is incorrect. - Error - - - ERROR_OPEN_FAILED - The system cannot open the device or file specified. - Error - - - ERROR_ALREADY_EXISTS - Cannot create a file when that file already exists. - Error - - - ERROR_INVALID_FLAG_NUMBER - The flag passed is not correct. - Error - - - WAIT_TIMEOUT - The wait operation timed out. - Error - - - ERROR_NO_MORE_ITEMS - No more data is available. - Error - - - ERROR_INVALID_ADDRESS - Attempt to access invalid address. - Error - - - ERROR_ARITHMETIC_OVERFLOW - Arithmetic result exceeded 32 bits. - Error - - - ERROR_OPERATION_ABORTED - The I/O operation has been aborted because of either a thread exit or an application request. - Error - - - ERROR_IO_INCOMPLETE - Overlapped I/O event is not in a signaled state. - Error - - - ERROR_IO_PENDING - Overlapped I/O operation is in progress. - Error - - - - - - - ST_Win32EventClass - 7104 + FB_HomeVirtual + 61696 - ERROR_SUCCESS - TcEventEntry - 192 - 0 - - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_SUCCESS - - - .eSeverity - TcEventSeverity.Verbose - - + En + BOOL + 8 + 64 + + + ItemType + Input + + + + + bReset + BOOL + 8 + 72 + + + ItemType + Input + + + + + bExecute + BOOL + 8 + 80 + + + ItemType + Input + + + + + nCmdData + UINT + 16 + 96 + + + ItemType + Input + + + + + bLimitFwd + BOOL + 8 + 112 + + + ItemType + Input + + + + + bLimitBwd + BOOL + 8 + 120 + + + ItemType + Input + + + + + bHomeSensor + BOOL + 8 + 128 + + + ItemType + Input + + + + + fHomePosition + LREAL + 64 + 192 + + + ItemType + Input + + + + + nHomeRevOffset + UINT + 16 + 256 + + + ItemType + Input + + - ERROR_INVALID_FUNCTION - TcEventEntry - 192 - 192 - - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_INVALID_FUNCTION - - - .eSeverity - TcEventSeverity.Error - - + Axis + AXIS_REF + 64 + 320 + + + ItemType + InOut + + - ERROR_FILE_NOT_FOUND - TcEventEntry - 192 + EnO + BOOL + 8 384 - - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_FILE_NOT_FOUND - - - .eSeverity - TcEventSeverity.Error - - + + + ItemType + Output + + - ERROR_PATH_NOT_FOUND - TcEventEntry - 192 - 576 - - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_PATH_NOT_FOUND - - - .eSeverity - TcEventSeverity.Error - - + bBusy + BOOL + 8 + 392 + + + ItemType + Output + + - ERROR_TOO_MANY_OPEN_FILES - TcEventEntry - 192 - 768 - - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_TOO_MANY_OPEN_FILES - - - .eSeverity - TcEventSeverity.Error - - + bDone + BOOL + 8 + 400 + + + ItemType + Output + + - ERROR_ACCESS_DENIED - TcEventEntry - 192 - 960 - - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_ACCESS_DENIED - - - .eSeverity - TcEventSeverity.Error - - + bHomed + BOOL + 8 + 408 + + + ItemType + Output + + - ERROR_INVALID_HANDLE - TcEventEntry - 192 - 1152 - - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_INVALID_HANDLE - - - .eSeverity - TcEventSeverity.Error - - + bError + BOOL + 8 + 416 + + + ItemType + Output + + - ERROR_NOT_ENOUGH_MEMORY - TcEventEntry - 192 - 1344 - - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_NOT_ENOUGH_MEMORY - - - .eSeverity - TcEventSeverity.Error - + nErrorId + UDINT + 32 + 448 + + + ItemType + Output + + + + + fbHomeToSwitch + FB_HomeToSwitch + 18048 + 512 + + + fbHomeDirect + FB_HomeDirect + Only used for direct homing (set of position) + 8448 + 18560 + + + fbMoveVelocity + MC_MoveVelocity + 9600 + 27008 + + + fbHomePrepare + FB_HomePrepare + 20544 + 36608 + + + fbHomeFinish + FB_HomeFinish + 4288 + 57152 + + + fbExecuteRiseEdge + R_TRIG + 128 + 61440 + + + nHomingState + INT + 16 + 61568 + + 0 - ERROR_INVALID_DATA - TcEventEntry - 192 - 1536 + bExecuteHomeToSwitch + BOOL + 8 + 61584 - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_INVALID_DATA - - - .eSeverity - TcEventSeverity.Error - + false - ERROR_NOT_READY - TcEventEntry - 192 - 1728 + bExecuteMoveVelocity + BOOL + 8 + 61592 - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_NOT_READY - - - .eSeverity - TcEventSeverity.Error - + false - ERROR_BAD_COMMAND - TcEventEntry - 192 - 1920 + bExecutePrepare + BOOL + 8 + 61600 - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_BAD_COMMAND - - - .eSeverity - TcEventSeverity.Error - + false - ERROR_CRC - TcEventEntry - 192 - 2112 + bExecuteFinish + BOOL + 8 + 61608 - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_CRC - - - .eSeverity - TcEventSeverity.Error - + false - ERROR_BAD_LENGTH - TcEventEntry - 192 - 2304 + bExecuteHomeDirect + BOOL + 8 + 61616 + + + nCmdDataLocal + UINT + Ensure that nCmdData is not changed during sequence + 16 + 61632 + + + bSequenceReady + BOOL + 8 + 61648 - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_BAD_LENGTH - - - .eSeverity - TcEventSeverity.Error - + true + + + + bRestoreNCDataNeeded + BOOL + 8 + 61656 + + false + + + + + PouType + FunctionBlock + + + + + FB_DriveVirtual + 181056 + + sVersion + STRING(80) + 648 + 64 + + 1.0.3 - ERROR_WRITE_FAULT - TcEventEntry - 192 - 2496 - - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_WRITE_FAULT - - - .eSeverity - TcEventSeverity.Error - - + En + BOOL + 8 + 712 + + + ItemType + Input + + + + + bEnable + BOOL + 8 + 720 + + + ItemType + Input + + + + + bReset + BOOL + 8 + 728 + + + ItemType + Input + + + + + bExecute + BOOL + 8 + 736 + + + ItemType + Input + + + + + nCommand + UINT + // nCommandLocal... +// 0 = Jog +// 1 = MoveVelocity +// 2 = MoveRelative +// 3 = MoveAbsolut +// 4 = MoveModulo +// 10 = Homing +// 20 = SuperInp >>>ToBe +// 30 = Gear + 16 + 752 + + + ItemType + Input + + + + + nCmdData + UINT + 16 + 768 + + + ItemType + Input + + + + + fVelocity + LREAL + 64 + 832 + + + ItemType + Input + + + + + fPosition + LREAL + 64 + 896 + + + ItemType + Input + + + + + fAcceleration + LREAL + 64 + 960 + + + ItemType + Input + + + + + fDeceleration + LREAL + 64 + 1024 + + + ItemType + Input + + + + + bJogFwd + BOOL + 8 + 1088 + + + ItemType + Input + + + + + bJogBwd + BOOL + 8 + 1096 + + + ItemType + Input + + + + + bLimitFwd + BOOL + 8 + 1104 + + + ItemType + Input + + + + + bLimitBwd + BOOL + 8 + 1112 + + + ItemType + Input + + - ERROR_READ_FAULT - TcEventEntry - 192 - 2688 + fOverride + LREAL + 64 + 1152 - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_READ_FAULT - - - .eSeverity - TcEventSeverity.Error - + 100 + + + ItemType + Input + + - ERROR_GEN_FAILURE - TcEventEntry - 192 - 2880 - - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_GEN_FAILURE - - - .eSeverity - TcEventSeverity.Error - - + bHomeSensor + BOOL + 8 + 1216 + + + ItemType + Input + + - ERROR_NOT_SUPPORTED - TcEventEntry - 192 - 3072 - - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_NOT_SUPPORTED - - - .eSeverity - TcEventSeverity.Error - - + fHomePosition + LREAL + 64 + 1280 + + + ItemType + Input + + - ERROR_REM_NOT_LIST - TcEventEntry - 192 - 3264 - - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_REM_NOT_LIST - - - .eSeverity - TcEventSeverity.Error - - + nHomeRevOffset + UINT + 16 + 1344 + + + ItemType + Input + + - ERROR_DUP_NAME - TcEventEntry - 192 - 3456 - - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_DUP_NAME - - - .eSeverity - TcEventSeverity.Error - - + MasterAxis + AXIS_REF + 9024 + 1408 + + + ItemType + Input + + - ERROR_BAD_NETPATH - TcEventEntry - 192 - 3648 - - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_BAD_NETPATH - - - .eSeverity - TcEventSeverity.Error - - + bPowerSelf + BOOL + 8 + 10432 + + + ItemType + Input + + + + + EnO + BOOL + 8 + 10440 + + + ItemType + Output + + + + + bEnabled + BOOL + 8 + 10448 + + + ItemType + Output + + + + + bBusy + BOOL + 8 + 10456 + + + ItemType + Output + + - ERROR_NETWORK_BUSY - TcEventEntry - 192 - 3840 - - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_NETWORK_BUSY - - - .eSeverity - TcEventSeverity.Error - - + bDone + BOOL + 8 + 10464 + + + ItemType + Output + + - ERROR_DEV_NOT_EXIST - TcEventEntry - 192 - 4032 - - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_DEV_NOT_EXIST - - - .eSeverity - TcEventSeverity.Error - - + bError + BOOL + 8 + 10472 + + + ItemType + Output + + - ERROR_FILE_EXISTS - TcEventEntry - 192 - 4224 - - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_FILE_EXISTS - - - .eSeverity - TcEventSeverity.Error - - + bHomed + BOOL + 8 + 10480 + + + ItemType + Output + + - ERROR_CANNOT_MAKE - TcEventEntry - 192 - 4416 - - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_CANNOT_MAKE - - - .eSeverity - TcEventSeverity.Error - - + nErrorId + UDINT + 32 + 10496 + + + ItemType + Output + + - ERROR_ALREADY_ASSIGNED - TcEventEntry - 192 - 4608 + nMotionAxisID + UDINT + Axis id in Motion (NC) + 32 + 10528 - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_ALREADY_ASSIGNED - - - .eSeverity - TcEventSeverity.Error - + 0 + + + ItemType + Output + + - ERROR_INVALID_PASSWORD - TcEventEntry - 192 - 4800 - - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_INVALID_PASSWORD - - - .eSeverity - TcEventSeverity.Error - - + Status + ST_AxisStatus + 768 + 10560 + + + ItemType + Output + + - ERROR_INVALID_PARAMETER - TcEventEntry - 192 - 4992 - - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_INVALID_PARAMETER - - - .eSeverity - TcEventSeverity.Error - - + fActVelocity + LREAL + 64 + 11328 + + + ItemType + Output + + + + + fActPosition + LREAL + 64 + 11392 + + + ItemType + Output + + + + + fActDiff + LREAL + 64 + 11456 + + + ItemType + Output + + + + + sErrorMessage + STRING(80) + 648 + 11520 + + + ItemType + Output + + - ERROR_OPEN_FAILED - TcEventEntry - 192 - 5184 - - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_OPEN_FAILED - - - .eSeverity - TcEventSeverity.Error - - + Axis + AXIS_REF + 64 + 12224 + + + ItemType + InOut + + - ERROR_ALREADY_EXISTS - TcEventEntry - 192 - 5376 - - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_ALREADY_EXISTS - - - .eSeverity - TcEventSeverity.Error - - + nCommandLocal + UINT + 16 + 12288 - ERROR_INVALID_FLAG_NUMBER - TcEventEntry - 192 - 5568 - - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_INVALID_FLAG_NUMBER - - - .eSeverity - TcEventSeverity.Error - - + nCmdDataLocal + UINT + 16 + 12304 - WAIT_TIMEOUT - TcEventEntry - 192 - 5760 + bFirstScan + BOOL + 8 + 12320 - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.WAIT_TIMEOUT - - - .eSeverity - TcEventSeverity.Error - + true - ERROR_NO_MORE_ITEMS - TcEventEntry - 192 - 5952 - - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_NO_MORE_ITEMS - - - .eSeverity - TcEventSeverity.Error - - + fbReset + MC_Reset + 1920 + 12352 - ERROR_INVALID_ADDRESS - TcEventEntry - 192 - 6144 - - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_INVALID_ADDRESS - - - .eSeverity - TcEventSeverity.Error - - + fbPower + MC_Power + 960 + 14272 + + + fbHalt + MC_Halt + 9472 + 15232 + + + fbJog + MC_Jog + 48512 + 24704 + + + fbMoveVelocity + MC_MoveVelocity + 9600 + 73216 + + + fbMoveRelative + MC_MoveRelative + 9664 + 82816 + + + fbMoveAbsolute + MC_MoveAbsolute + 9664 + 92480 + + + fbMoveModulo + MC_MoveModulo + 9792 + 102144 + + + fbHomeVirtual + FB_HomeVirtual + 61696 + 111936 + + + fbGearInDyn + MC_GearInDyn + 4416 + 173632 + + + fbGearOut + MC_GearOut + 2112 + 178048 + + + fbExecuteRiseEdge + R_TRIG + 128 + 180160 + + + stAxisStatus + DUT_AxisStatus_v0_01 + 768 + 180288 + + + + PouType + FunctionBlock + + + + + FB_MotionHoming + 51584 + + stMotionStage + ST_MotionStage + 64 + 64 + + + ItemType + InOut + + + + + bExecute + BOOL + 8 + 128 + + + ItemType + Input + + + + + bBusy + BOOL + 8 + 136 + + + ItemType + Output + + + + + bDone + BOOL + 8 + 144 + + + ItemType + Output + + + + + bError + BOOL + 8 + 152 + + + ItemType + Output + + - ERROR_ARITHMETIC_OVERFLOW - TcEventEntry - 192 - 6336 + nErrorID + UDINT + 32 + 160 + + + ItemType + Output + + + + + fbSetPos + MC_SetPosition + 2240 + 192 + + + fbJog + MC_Jog + 48512 + 2432 + + + rtExec + R_TRIG + 128 + 50944 + + + ftExec + F_TRIG + 128 + 51072 + + + nHomeStateMachine + INT + 16 + 51200 - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_ARITHMETIC_OVERFLOW - - - .eSeverity - TcEventSeverity.Error - + 0 - ERROR_OPERATION_ABORTED - TcEventEntry - 192 - 6528 + nStateAfterStop + INT + 16 + 51216 + + + nMoves + INT + 16 + 51232 + + + bFirstDirection + BOOL + 8 + 51248 + + + bAtHome + BOOL + 8 + 51256 + + + bMove + BOOL + 8 + 51264 + + + nErrCount + INT + 16 + 51280 + + + bInterrupted + BOOL + 8 + 51296 + + + IDLE + INT + 16 + 51312 - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_OPERATION_ABORTED - - - .eSeverity - TcEventSeverity.Error - + 0 - ERROR_IO_INCOMPLETE - TcEventEntry - 192 - 6720 + NEXT_MOVE + INT + 16 + 51328 - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_IO_INCOMPLETE - - - .eSeverity - TcEventSeverity.Error - + 1 - ERROR_IO_PENDING - TcEventEntry - 192 - 6912 + CHECK_FWD + INT + 16 + 51344 - - .uuidEventClass.Data1 - 487345068 - - - .uuidEventClass.Data2 - 60659 - - - .uuidEventClass.Data3 - 20275 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 32 - - - .uuidEventClass.Data4[2] - 161 - - - .uuidEventClass.Data4[3] - 46 - - - .uuidEventClass.Data4[4] - 119 - - - .uuidEventClass.Data4[5] - 171 - - - .uuidEventClass.Data4[6] - 99 - - - .uuidEventClass.Data4[7] - 135 - - - .nEventID - E_Win32EventClass.ERROR_IO_PENDING - - - .eSeverity - TcEventSeverity.Error - + 2 - - - TcTypeSystem - - - signature_flag - 33554432 - - - checksuperglobal - - - show - - - no-analysis - - - TcEventClass - Win32EventClass - - - - - LCLSGeneralEventClass - Log event - - Critical - Critical - Critical - - - Error - Error - Error - - - Warning - Warning - Warning - - - Info - Info - Info - - - Verbose - Verbose - Verbose - - - - - - - - - - - - - ST_LCLSGeneralEventClass - 960 - Critical - TcEventEntry - 192 - 0 + CHECK_BWD + INT + 16 + 51360 - - .uuidEventClass.Data1 - 2546958919 - - - .uuidEventClass.Data2 - 46492 - - - .uuidEventClass.Data3 - 20012 - - - .uuidEventClass.Data4[0] - 180 - - - .uuidEventClass.Data4[1] - 176 - - - .uuidEventClass.Data4[2] - 115 - - - .uuidEventClass.Data4[3] - 80 - - - .uuidEventClass.Data4[4] - 208 - - - .uuidEventClass.Data4[5] - 71 - - - .uuidEventClass.Data4[6] - 20 - - - .uuidEventClass.Data4[7] - 87 - - - .nEventID - E_LCLSGeneralEventClass.Critical - - - .eSeverity - TcEventSeverity.Critical - + 3 - Error - TcEventEntry - 192 - 192 - - - .uuidEventClass.Data1 - 2546958919 - - - .uuidEventClass.Data2 - 46492 - - - .uuidEventClass.Data3 - 20012 - - - .uuidEventClass.Data4[0] - 180 - - - .uuidEventClass.Data4[1] - 176 - - - .uuidEventClass.Data4[2] - 115 - - - .uuidEventClass.Data4[3] - 80 - - - .uuidEventClass.Data4[4] - 208 - - - .uuidEventClass.Data4[5] - 71 - - - .uuidEventClass.Data4[6] - 20 - - - .uuidEventClass.Data4[7] - 87 - - - .nEventID - E_LCLSGeneralEventClass.Error - - - .eSeverity - TcEventSeverity.Error - + FINAL_MOVE + INT + 16 + 51376 + + 4 - Warning - TcEventEntry - 192 - 384 + FINAL_SETPOS + INT + 16 + 51392 - - .uuidEventClass.Data1 - 2546958919 - - - .uuidEventClass.Data2 - 46492 - - - .uuidEventClass.Data3 - 20012 - - - .uuidEventClass.Data4[0] - 180 - - - .uuidEventClass.Data4[1] - 176 - - - .uuidEventClass.Data4[2] - 115 - - - .uuidEventClass.Data4[3] - 80 - - - .uuidEventClass.Data4[4] - 208 - - - .uuidEventClass.Data4[5] - 71 - - - .uuidEventClass.Data4[6] - 20 - - - .uuidEventClass.Data4[7] - 87 - - - .nEventID - E_LCLSGeneralEventClass.Warning - - - .eSeverity - TcEventSeverity.Warning - + 5 - Info - TcEventEntry - 192 - 576 + ERROR + INT + 16 + 51408 - - .uuidEventClass.Data1 - 2546958919 - - - .uuidEventClass.Data2 - 46492 - - - .uuidEventClass.Data3 - 20012 - - - .uuidEventClass.Data4[0] - 180 - - - .uuidEventClass.Data4[1] - 176 - - - .uuidEventClass.Data4[2] - 115 - - - .uuidEventClass.Data4[3] - 80 - - - .uuidEventClass.Data4[4] - 208 - - - .uuidEventClass.Data4[5] - 71 - - - .uuidEventClass.Data4[6] - 20 - - - .uuidEventClass.Data4[7] - 87 - - - .nEventID - E_LCLSGeneralEventClass.Info - - - .eSeverity - TcEventSeverity.Info - + 6 - Verbose - TcEventEntry - 192 - 768 + WAIT_STOP + INT + 16 + 51424 - - .uuidEventClass.Data1 - 2546958919 - - - .uuidEventClass.Data2 - 46492 - - - .uuidEventClass.Data3 - 20012 - - - .uuidEventClass.Data4[0] - 180 - - - .uuidEventClass.Data4[1] - 176 - - - .uuidEventClass.Data4[2] - 115 - - - .uuidEventClass.Data4[3] - 80 - - - .uuidEventClass.Data4[4] - 208 - - - .uuidEventClass.Data4[5] - 71 - - - .uuidEventClass.Data4[6] - 20 - - - .uuidEventClass.Data4[7] - 87 - - - .nEventID - E_LCLSGeneralEventClass.Verbose - - - .eSeverity - TcEventSeverity.Verbose - + 7 + + + + FWD_START + LREAL + + This is a simpler way of disabling the soft limits that ends up being really obvious if something has gone wrong. + If you turn the limits off/on, not only do you need to keep track of if you had soft limits set, + but you need to always restore this properly or risk some issue. + Instead, I set position to a ridiculous value that can always move forward or backward. + If this gets stuck for any reason it's very clear that something has gone wrong, + rather than a silent failure of the soft limit marks. + + 64 + 51456 + + -99999999 + + + + BWD_START + LREAL + 64 + 51520 + + 99999999 - TcTypeSystem - - - signature_flag - 33554432 - - - checksuperglobal - - - show - - - no-analysis - - - TcEventClass - LCLSGeneralEventClass + PouType + FunctionBlock - E_B4C_Rh_CoatingStates - 16 - UINT - - Unknown - 0 - - - B4C - 1 - - - Rh - 2 - + FB_EncSaveRestore + 3264 + + stMotionStage + ST_MotionStage + 64 + 64 + + + ItemType + InOut + + + + + bEnable + BOOL + 8 + 128 + + + ItemType + Input + + + + + fbSetPos + MC_SetPosition + 2240 + 192 + + + timer + TON + 256 + 2432 + + + bInit + BOOL + 8 + 2688 + + + bLoad + BOOL + 8 + 2696 + + + nLatchError + UDINT + 32 + 2720 + + + bEncError + BOOL + 8 + 2752 + + + tRetryDelay + TIME + 32 + 2784 + + T#1s + + + + nMaxRetries + UINT + 16 + 2816 + + 10 + + + + nCurrTries + UINT + 16 + 2832 + + 0 + + + + bWaitRetry + BOOL + 8 + 2848 + + + tonRetry + TON + 256 + 2880 + + + bSaved + BOOL + 8 + 3136 + + + TcPersistent + + + + + fPosition + LREAL + 64 + 3200 + + + TcPersistent + + + - qualified_only - - - strict - - - generate_implicit_init_function + PouType + FunctionBlock - TcIPCDiagEventClass - TcIPCDiagEventClass - - Fail - Fail - unspecified error. - Error - - - InvalidIndex - Invalid index. - Error - - - InvalidAccess - Invalid access. - Error - - - BufferTooSmall - Buffer is too small. - Error - - - TypeNotSupported - Type is not supported. - Error - - - OutOfMemory - Out of memory. - Error - - - NoDataAvailable - No data available. - Error - - - InvalidData - Invalid data. - Error - - - InvalidArgument - Invalid argument. - Error - - - OutOfRange - Value is out of range. - Error - - - ServerBusy - Server is busy. - Error - - - NotInit - MDP API is not initialized. - Error - - - NotImplemented - Not implemented. - Error - - - NotSupported - Not supported. - Error - - - - + FB_LogMotionError + 87488 + + stMotionStage + ST_MotionStage + 64 + 64 + + + ItemType + InOut + + + + + bEnable + BOOL + 8 + 128 + + + ItemType + Input + + + + + fbLogMessage + FB_LogMessage + 86080 + 192 + + + rtNewError + R_TRIG + 128 + 86272 + + + bChangedError + BOOL + 8 + 86400 + + + sPrevErr + STRING(80) + 648 + 86408 + + + fbJson + FB_JsonSaxWriter + 384 + 87104 + + + + PouType + FunctionBlock + + - ST_TcIPCDiagEventClass - 2688 + FB_EncoderValue + 128 - Fail - TcEventEntry - 192 - 0 - - - .uuidEventClass.Data1 - 3432157575 - - - .uuidEventClass.Data2 - 14704 - - - .uuidEventClass.Data3 - 19700 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 57 - - - .uuidEventClass.Data4[2] - 148 - - - .uuidEventClass.Data4[3] - 107 - - - .uuidEventClass.Data4[4] - 236 - - - .uuidEventClass.Data4[5] - 18 - - - .uuidEventClass.Data4[6] - 66 - - - .uuidEventClass.Data4[7] - 45 - - - .nEventID - E_TcIPCDiagEventClass.Fail - - - .eSeverity - TcEventSeverity.Error - - + stMotionStage + ST_MotionStage + 64 + 64 + + + ItemType + InOut + + + + + PouType + FunctionBlock + + + + + MC_ReadParameterSet + 1984 - InvalidIndex - TcEventEntry - 192 + Parameter + ST_AxisParameterSet + 64 + 64 + + + ItemType + InOut + + + + + Axis + AXIS_REF + Reference to an axis + 64 + 128 + + + ItemType + InOut + + + + + Execute + BOOL + 8 192 - - - .uuidEventClass.Data1 - 3432157575 - - - .uuidEventClass.Data2 - 14704 - - - .uuidEventClass.Data3 - 19700 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 57 - - - .uuidEventClass.Data4[2] - 148 - - - .uuidEventClass.Data4[3] - 107 - - - .uuidEventClass.Data4[4] - 236 - - - .uuidEventClass.Data4[5] - 18 - - - .uuidEventClass.Data4[6] - 66 - - - .uuidEventClass.Data4[7] - 45 - - - .nEventID - E_TcIPCDiagEventClass.InvalidIndex - - - .eSeverity - TcEventSeverity.Error - - + + + ItemType + Input + + - InvalidAccess - TcEventEntry - 192 - 384 - - - .uuidEventClass.Data1 - 3432157575 - - - .uuidEventClass.Data2 - 14704 - - - .uuidEventClass.Data3 - 19700 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 57 - - - .uuidEventClass.Data4[2] - 148 - - - .uuidEventClass.Data4[3] - 107 - - - .uuidEventClass.Data4[4] - 236 - - - .uuidEventClass.Data4[5] - 18 - - - .uuidEventClass.Data4[6] - 66 - - - .uuidEventClass.Data4[7] - 45 - - - .nEventID - E_TcIPCDiagEventClass.InvalidAccess - - - .eSeverity - TcEventSeverity.Error - - + Done + BOOL + 8 + 200 + + + ItemType + Output + + - BufferTooSmall - TcEventEntry - 192 - 576 - - - .uuidEventClass.Data1 - 3432157575 - - - .uuidEventClass.Data2 - 14704 - - - .uuidEventClass.Data3 - 19700 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 57 - - - .uuidEventClass.Data4[2] - 148 - - - .uuidEventClass.Data4[3] - 107 - - - .uuidEventClass.Data4[4] - 236 - - - .uuidEventClass.Data4[5] - 18 - - - .uuidEventClass.Data4[6] - 66 - - - .uuidEventClass.Data4[7] - 45 - - - .nEventID - E_TcIPCDiagEventClass.BufferTooSmall - - - .eSeverity - TcEventSeverity.Error - - + Busy + BOOL + 8 + 208 + + + ItemType + Output + + - TypeNotSupported - TcEventEntry - 192 - 768 - - - .uuidEventClass.Data1 - 3432157575 - - - .uuidEventClass.Data2 - 14704 - - - .uuidEventClass.Data3 - 19700 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 57 - - - .uuidEventClass.Data4[2] - 148 - - - .uuidEventClass.Data4[3] - 107 - - - .uuidEventClass.Data4[4] - 236 - - - .uuidEventClass.Data4[5] - 18 - - - .uuidEventClass.Data4[6] - 66 - - - .uuidEventClass.Data4[7] - 45 - - - .nEventID - E_TcIPCDiagEventClass.TypeNotSupported - - - .eSeverity - TcEventSeverity.Error - - + Error + BOOL + 8 + 216 + + + ItemType + Output + + - OutOfMemory - TcEventEntry - 192 - 960 - - - .uuidEventClass.Data1 - 3432157575 - - - .uuidEventClass.Data2 - 14704 - - - .uuidEventClass.Data3 - 19700 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 57 - - - .uuidEventClass.Data4[2] - 148 - - - .uuidEventClass.Data4[3] - 107 - - - .uuidEventClass.Data4[4] - 236 - - - .uuidEventClass.Data4[5] - 18 - - - .uuidEventClass.Data4[6] - 66 - - - .uuidEventClass.Data4[7] - 45 - - - .nEventID - E_TcIPCDiagEventClass.OutOfMemory - - - .eSeverity - TcEventSeverity.Error - - + ErrorID + UDINT + 32 + 224 + + + ItemType + Output + + + displaymode + hex + + - NoDataAvailable - TcEventEntry - 192 - 1152 - - - .uuidEventClass.Data1 - 3432157575 - - - .uuidEventClass.Data2 - 14704 - - - .uuidEventClass.Data3 - 19700 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 57 - - - .uuidEventClass.Data4[2] - 148 - - - .uuidEventClass.Data4[3] - 107 - - - .uuidEventClass.Data4[4] - 236 - - - .uuidEventClass.Data4[5] - 18 - - - .uuidEventClass.Data4[6] - 66 - - - .uuidEventClass.Data4[7] - 45 - - - .nEventID - E_TcIPCDiagEventClass.NoDataAvailable - - - .eSeverity - TcEventSeverity.Error - - + TriggerExecute + R_TRIG + 128 + 256 - InvalidData - TcEventEntry - 192 - 1344 + state + _E_TcMC_STATES + 16 + 384 - - .uuidEventClass.Data1 - 3432157575 - - - .uuidEventClass.Data2 - 14704 - - - .uuidEventClass.Data3 - 19700 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 57 - - - .uuidEventClass.Data4[2] - 148 - - - .uuidEventClass.Data4[3] - 107 - - - .uuidEventClass.Data4[4] - 236 - - - .uuidEventClass.Data4[5] - 18 - - - .uuidEventClass.Data4[6] - 66 - - - .uuidEventClass.Data4[7] - 45 - - - .nEventID - E_TcIPCDiagEventClass.InvalidData - - - .eSeverity - TcEventSeverity.Error - + _E_TcMC_STATES.STATE_INITIALIZATION - InvalidArgument - TcEventEntry - 192 - 1536 - - - .uuidEventClass.Data1 - 3432157575 - - - .uuidEventClass.Data2 - 14704 - - - .uuidEventClass.Data3 - 19700 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 57 - - - .uuidEventClass.Data4[2] - 148 - - - .uuidEventClass.Data4[3] - 107 - - - .uuidEventClass.Data4[4] - 236 - - - .uuidEventClass.Data4[5] - 18 - - - .uuidEventClass.Data4[6] - 66 - - - .uuidEventClass.Data4[7] - 45 - - - .nEventID - E_TcIPCDiagEventClass.InvalidArgument - - - .eSeverity - TcEventSeverity.Error - - + fbAdsRead + ADSREAD + 1408 + 448 - OutOfRange - TcEventEntry - 192 - 1728 - - - .uuidEventClass.Data1 - 3432157575 - - - .uuidEventClass.Data2 - 14704 - - - .uuidEventClass.Data3 - 19700 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 57 - - - .uuidEventClass.Data4[2] - 148 - - - .uuidEventClass.Data4[3] - 107 - - - .uuidEventClass.Data4[4] - 236 - - - .uuidEventClass.Data4[5] - 18 - - - .uuidEventClass.Data4[6] - 66 - - - .uuidEventClass.Data4[7] - 45 - - - .nEventID - E_TcIPCDiagEventClass.OutOfRange - - - .eSeverity - TcEventSeverity.Error - - + SizeofPayloadData + UDINT + 32 + 1856 - ServerBusy - TcEventEntry - 192 + SizeofPayloadData64 + ULINT + 64 1920 - - - .uuidEventClass.Data1 - 3432157575 - - - .uuidEventClass.Data2 - 14704 - - - .uuidEventClass.Data3 - 19700 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 57 - - - .uuidEventClass.Data4[2] - 148 - - - .uuidEventClass.Data4[3] - 107 - - - .uuidEventClass.Data4[4] - 236 - - - .uuidEventClass.Data4[5] - 18 - - - .uuidEventClass.Data4[6] - 66 - - - .uuidEventClass.Data4[7] - 45 - - - .nEventID - E_TcIPCDiagEventClass.ServerBusy - - - .eSeverity - TcEventSeverity.Error - - + + + ActGetSizeOfParameterSet + + + + PouType + FunctionBlock + + + + + FB_MotionStageNCParams + 2560 + + stMotionStage + ST_MotionStage + 64 + 64 + + + ItemType + InOut + + - NotInit - TcEventEntry - 192 - 2112 - - - .uuidEventClass.Data1 - 3432157575 - - - .uuidEventClass.Data2 - 14704 - - - .uuidEventClass.Data3 - 19700 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 57 - - - .uuidEventClass.Data4[2] - 148 - - - .uuidEventClass.Data4[3] - 107 - - - .uuidEventClass.Data4[4] - 236 - - - .uuidEventClass.Data4[5] - 18 - - - .uuidEventClass.Data4[6] - 66 - - - .uuidEventClass.Data4[7] - 45 - - - .nEventID - E_TcIPCDiagEventClass.NotInit - - - .eSeverity - TcEventSeverity.Error - - + bEnable + BOOL + 8 + 128 + + + ItemType + Input + + - NotImplemented - TcEventEntry - 192 - 2304 - - - .uuidEventClass.Data1 - 3432157575 - - - .uuidEventClass.Data2 - 14704 - - - .uuidEventClass.Data3 - 19700 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 57 - - - .uuidEventClass.Data4[2] - 148 - - - .uuidEventClass.Data4[3] - 107 - - - .uuidEventClass.Data4[4] - 236 - - - .uuidEventClass.Data4[5] - 18 - - - .uuidEventClass.Data4[6] - 66 - - - .uuidEventClass.Data4[7] - 45 - - - .nEventID - E_TcIPCDiagEventClass.NotImplemented - - - .eSeverity - TcEventSeverity.Error - - + tRefreshDelay + TIME + 32 + 160 + + + ItemType + Input + + - NotSupported - TcEventEntry - 192 + bError + BOOL + 8 + 192 + + + ItemType + Output + + + + + mcReadParams + MC_ReadParameterSet + 1984 + 256 + + + timer + TON + 256 + 2240 + + + bExecute + BOOL + 8 2496 - - .uuidEventClass.Data1 - 3432157575 - - - .uuidEventClass.Data2 - 14704 - - - .uuidEventClass.Data3 - 19700 - - - .uuidEventClass.Data4[0] - 143 - - - .uuidEventClass.Data4[1] - 57 - - - .uuidEventClass.Data4[2] - 148 - - - .uuidEventClass.Data4[3] - 107 - - - .uuidEventClass.Data4[4] - 236 - - - .uuidEventClass.Data4[5] - 18 - - - .uuidEventClass.Data4[6] - 66 - - - .uuidEventClass.Data4[7] - 45 - - - .nEventID - E_TcIPCDiagEventClass.NotSupported - - - .eSeverity - TcEventSeverity.Error - + true - - - TcTypeSystem - - - signature_flag - 33554432 - - - checksuperglobal - - - show - - - no-analysis - + + nLatchErrId + UDINT + 32 + 2528 + + - TcEventClass - TcIPCDiagEventClass + PouType + FunctionBlock - TcIPCDiagPlcApiEventClass - TcIPCDiagPlcApiEventClass - - InvalidModList - Invalid module list (initialization of IPCDiag required). - Error - - - UnavailableModule - Module of parameter is unavailable (not existing). - Error - - - UnavailableParameter - Parameter is unavailable (not existing). - Error - - - NoValidData - No valid parameter value available (reading is pending or failed). - Error - - - InvalidBuffer - Allocated buffer is invalid (pointer is zero or buffer size is too small). - Error - - - InvalidIndex - Allocated index is invalid. - Error - - - BadParameterValues - Error that some of the requested parameter values returned an error (use GetParameterByIdx). - Error - - - BadParameterValues_Info - Info that some of the requested parameter values returned an error (use GetParameterByIdx). - Info - - - - - - - ST_TcIPCDiagPlcApiEventClass - 1536 + FB_MotionStage + 327424 - InvalidModList - TcEventEntry - 192 - 0 - - - .uuidEventClass.Data1 - 539127628 - - - .uuidEventClass.Data2 - 21690 - - - .uuidEventClass.Data3 - 18335 - - - .uuidEventClass.Data4[0] - 186 - - - .uuidEventClass.Data4[1] - 72 - - - .uuidEventClass.Data4[2] - 251 - - - .uuidEventClass.Data4[3] - 229 - - - .uuidEventClass.Data4[4] - 45 - - - .uuidEventClass.Data4[5] - 14 - - - .uuidEventClass.Data4[6] - 156 - - - .uuidEventClass.Data4[7] - 213 - - - .nEventID - E_TcIPCDiagPlcApiEventClass.InvalidModList - - - .eSeverity - TcEventSeverity.Error - - + stMotionStage + ST_MotionStage + 64 + 64 + + + ItemType + InOut + + - UnavailableModule - TcEventEntry - 192 - 192 - - - .uuidEventClass.Data1 - 539127628 - - - .uuidEventClass.Data2 - 21690 - - - .uuidEventClass.Data3 - 18335 - - - .uuidEventClass.Data4[0] - 186 - - - .uuidEventClass.Data4[1] - 72 - - - .uuidEventClass.Data4[2] - 251 - - - .uuidEventClass.Data4[3] - 229 - - - .uuidEventClass.Data4[4] - 45 - - - .uuidEventClass.Data4[5] - 14 - - - .uuidEventClass.Data4[6] - 156 - - - .uuidEventClass.Data4[7] - 213 - - - .nEventID - E_TcIPCDiagPlcApiEventClass.UnavailableModule - - - .eSeverity - TcEventSeverity.Error - - + fbDriveVirtual + FB_DriveVirtual + 181056 + 128 - UnavailableParameter - TcEventEntry - 192 - 384 - - - .uuidEventClass.Data1 - 539127628 - - - .uuidEventClass.Data2 - 21690 - - - .uuidEventClass.Data3 - 18335 - - - .uuidEventClass.Data4[0] - 186 - - - .uuidEventClass.Data4[1] - 72 - - - .uuidEventClass.Data4[2] - 251 - - - .uuidEventClass.Data4[3] - 229 - - - .uuidEventClass.Data4[4] - 45 - - - .uuidEventClass.Data4[5] - 14 - - - .uuidEventClass.Data4[6] - 156 - - - .uuidEventClass.Data4[7] - 213 - - - .nEventID - E_TcIPCDiagPlcApiEventClass.UnavailableParameter - - - .eSeverity - TcEventSeverity.Error - - + fbMotionHome + FB_MotionHoming + 51584 + 181184 - NoValidData - TcEventEntry - 192 - 576 - - - .uuidEventClass.Data1 - 539127628 - - - .uuidEventClass.Data2 - 21690 - - - .uuidEventClass.Data3 - 18335 - - - .uuidEventClass.Data4[0] - 186 - - - .uuidEventClass.Data4[1] - 72 - - - .uuidEventClass.Data4[2] - 251 - - - .uuidEventClass.Data4[3] - 229 - - - .uuidEventClass.Data4[4] - 45 - - - .uuidEventClass.Data4[5] - 14 - - - .uuidEventClass.Data4[6] - 156 - - - .uuidEventClass.Data4[7] - 213 - - - .nEventID - E_TcIPCDiagPlcApiEventClass.NoValidData - - - .eSeverity - TcEventSeverity.Error - - + fbSaveRestore + FB_EncSaveRestore + 3264 + 232768 - InvalidBuffer - TcEventEntry - 192 - 768 - - - .uuidEventClass.Data1 - 539127628 - - - .uuidEventClass.Data2 - 21690 - - - .uuidEventClass.Data3 - 18335 - - - .uuidEventClass.Data4[0] - 186 - - - .uuidEventClass.Data4[1] - 72 - - - .uuidEventClass.Data4[2] - 251 - - - .uuidEventClass.Data4[3] - 229 - - - .uuidEventClass.Data4[4] - 45 - - - .uuidEventClass.Data4[5] - 14 - - - .uuidEventClass.Data4[6] - 156 - - - .uuidEventClass.Data4[7] - 213 - - - .nEventID - E_TcIPCDiagPlcApiEventClass.InvalidBuffer - - - .eSeverity - TcEventSeverity.Error - - + fbLogError + FB_LogMotionError + 87488 + 236032 - InvalidIndex - TcEventEntry - 192 - 960 - - - .uuidEventClass.Data1 - 539127628 - - - .uuidEventClass.Data2 - 21690 - - - .uuidEventClass.Data3 - 18335 - - - .uuidEventClass.Data4[0] - 186 - - - .uuidEventClass.Data4[1] - 72 - - - .uuidEventClass.Data4[2] - 251 - - - .uuidEventClass.Data4[3] - 229 - - - .uuidEventClass.Data4[4] - 45 - - - .uuidEventClass.Data4[5] - 14 - - - .uuidEventClass.Data4[6] - 156 - - - .uuidEventClass.Data4[7] - 213 - - - .nEventID - E_TcIPCDiagPlcApiEventClass.InvalidIndex - - - .eSeverity - TcEventSeverity.Error - - + bExecute + BOOL + 8 + 323520 + + + bExecMove + BOOL + 8 + 323528 + + + bExecHome + BOOL + 8 + 323536 + + + bFwdHit + BOOL + 8 + 323544 + + + bBwdHit + BOOL + 8 + 323552 + + + ftExec + F_TRIG + 128 + 323584 + + + rtExec + R_TRIG + 128 + 323712 + + + rtUserExec + R_TRIG + 128 + 323840 + + + rtTarget + R_TRIG + 128 + 323968 + + + rtHomed + R_TRIG + 128 + 324096 + + + fbSetEnables + FB_SetEnables + 128 + 324224 + + + bPosGoal + BOOL + 8 + 324352 + + + bNegGoal + BOOL + 8 + 324360 + + + fbEncoderValue + FB_EncoderValue + 128 + 324416 - BadParameterValues - TcEventEntry - 192 - 1152 - - - .uuidEventClass.Data1 - 539127628 - - - .uuidEventClass.Data2 - 21690 - - - .uuidEventClass.Data3 - 18335 - - - .uuidEventClass.Data4[0] - 186 - - - .uuidEventClass.Data4[1] - 72 - - - .uuidEventClass.Data4[2] - 251 - - - .uuidEventClass.Data4[3] - 229 - - - .uuidEventClass.Data4[4] - 45 - - - .uuidEventClass.Data4[5] - 14 - - - .uuidEventClass.Data4[6] - 156 - - - .uuidEventClass.Data4[7] - 213 - - - .nEventID - E_TcIPCDiagPlcApiEventClass.BadParameterValues - - - .eSeverity - TcEventSeverity.Error - - + fbNCParams + FB_MotionStageNCParams + 2560 + 324544 - BadParameterValues_Info - TcEventEntry - 192 - 1344 - - - .uuidEventClass.Data1 - 539127628 - - - .uuidEventClass.Data2 - 21690 - - - .uuidEventClass.Data3 - 18335 - - - .uuidEventClass.Data4[0] - 186 - - - .uuidEventClass.Data4[1] - 72 - - - .uuidEventClass.Data4[2] - 251 - - - .uuidEventClass.Data4[3] - 229 - - - .uuidEventClass.Data4[4] - 45 - - - .uuidEventClass.Data4[5] - 14 - - - .uuidEventClass.Data4[6] - 156 - - - .uuidEventClass.Data4[7] - 213 - - - .nEventID - E_TcIPCDiagPlcApiEventClass.BadParameterValues_Info - - - .eSeverity - TcEventSeverity.Info - - + bNewMoveReq + BOOL + 8 + 327104 + + + bPrepareDisable + BOOL + 8 + 327112 + + + bMoveCmd + BOOL + 8 + 327120 + + + rtMoveCmdShortcut + R_TRIG + 128 + 327168 + + + rtHomeCmdShortcut + R_TRIG + 128 + 327296 - TcTypeSystem - - - signature_flag - 33554432 - - - checksuperglobal - - - show - - - no-analysis + PouType + FunctionBlock + + + + ENUM_MotionRequest + 16 + E_MotionRequest + - TcEventClass - TcIPCDiagPlcApiEventClass + obsolete + Use E_MotionRequest - E_Grating_States + E_PiezoControl 16 - UINT + INT + + EPC_Idle + 0 + Piezo Control Machine + + + EPC_Init + 10 + + + EPC_MoveRequested + 50 + + + EPC_MovingPositive + 100 + + + EPC_MovingNegative + 200 + + + EPC_MoveCompleted + 350 + + + EPC_Error + 500 + + + + E_CTRL_MODE + 16 + INT + + eCTRL_MODE_IDLE + 0 + mode idle + + + eCTRL_MODE_PASSIVE + 1 + mode passive + + + eCTRL_MODE_ACTIVE + 2 + mode active + + + eCTRL_MODE_RESET + 3 + mode reset + + + eCTRL_MODE_MANUAL + 4 + mode manual + + + eCTRL_MODE_TUNE + 5 + mode tuning + + + eCTRL_MODE_SELFTEST + 6 + mode selftest + + + eCTRL_MODE_SYNC_MOVEMENT + 7 + mode synchronize + + + eCTRL_MODE_FREEZE + 8 + mode freeze + + + + E_CTRL_STATE + 16 + INT + + eCTRL_STATE_IDLE + 0 + state idle + + + eCTRL_STATE_PASSIVE + 1 + state passive + + + eCTRL_STATE_ACTIVE + 2 + state active + + + eCTRL_STATE_RESET + 3 + state reset + + + eCTRL_STATE_MANUAL + 4 + state manual + + + eCTRL_STATE_TUNING + 5 + state tuning + + + eCTRL_STATE_TUNED + 6 + state tuning ready - tuned + + + eCTRL_STATE_SELFTEST + 7 + state selftest + + + eCTRL_STATE_ERROR + 8 + state error + + + eCTRL_STATE_SYNC_MOVEMENT + 9 + state synchronizing movement + + + eCTRL_STATE_FREEZE + 10 + state freeze + + + + E_CTRL_ERRORCODES + 16 + INT + + eCTRL_ERROR_NOERROR + 0 + no error + + + eCTRL_ERROR_INVALIDTASKCYCLETIME + 1 + invalid task cycle time + + + eCTRL_ERROR_INVALIDCTRLCYCLETIME + 2 + invalid ctrl cycle time + + + eCTRL_ERROR_INVALIDPARAM + 3 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_Tv + 4 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_Td + 5 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_Tn + 6 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_Ti + 7 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_fHystereisisRange + 8 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_fPosOutOn_Off + 9 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_fNegOutOn_Off + 10 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_TableDescription + 11 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_TableData + 12 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_DataTableADR + 13 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_T0 + 14 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_T1 + 15 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_T2 + 16 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_T3 + 17 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_Theta + 18 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_nOrder + 19 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_Tt + 20 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_Tu + 21 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_Tg + 22 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_infinite_slope + 23 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_fMaxIsLessThanfMin + 24 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_fOutMaxLimitIsLessThanfOutMinLimit + 25 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_fOuterWindow + 26 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_fInnerWindow + 27 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_fOuterWindowIsLessThanfInnerWindow + 28 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_fDeadBandInput + 29 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_fDeadBandOutput + 30 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_PWM_Cycletime + 31 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_no_Parameterset + 32 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_fOutOn + 33 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_fOutOff + 34 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_fGain + 35 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_fOffset + 36 + invalid parameter + - Unknown - 0 + eCTRL_ERROR_MODE_NOT_SUPPORTED + 37 + invalid mode: mode not supported - LRG - 1 + eCTRL_ERROR_INVALIDPARAM_Tv_heating + 38 + invalid parameter - Unruled - 2 + eCTRL_ERROR_INVALIDPARAM_Td_heating + 39 + invalid parameter - YAG - 3 + eCTRL_ERROR_INVALIDPARAM_Tn_heating + 40 + invalid parameter - MEG - 4 + eCTRL_ERROR_INVALIDPARAM_Tv_cooling + 41 + invalid parameter - HEG - 5 + eCTRL_ERROR_INVALIDPARAM_Td_cooling + 42 + invalid parameter - LEG - 6 + eCTRL_ERROR_INVALIDPARAM_Tn_cooling + 43 + invalid parameter - - - qualified_only - - - strict - - - generate_implicit_init_function - - - - - E_IPCDiag_ModuleType - 16 - WORD - AccessControl - 1 + eCTRL_ERROR_RANGE_NOT_SUPPORTED + 44 + invalid parameter - NIC - 2 + eCTRL_ERROR_INVALIDPARAM_nParameterChangeCycleTicks + 45 + invalid parameter - Time_ - 3 + eCTRL_ERROR_ParameterEstimationFailed + 46 + invalid parameter - UserManagement - 4 + eCTRL_ERROR_NoiseLevelToHigh + 47 + invalid parameter - RAS - 5 + eCTRL_ERROR_INTERNAL_ERROR_0 + 48 + internal error - FTP - 6 + eCTRL_ERROR_INTERNAL_ERROR_1 + 49 + internal error - SMB - 7 + eCTRL_ERROR_INTERNAL_ERROR_2 + 50 + internal error - TwinCAT - 8 + eCTRL_ERROR_INTERNAL_ERROR_3 + 51 + internal error - Datastore - 9 + eCTRL_ERROR_INTERNAL_ERROR_4 + 52 + internal error - Software - 10 + eCTRL_ERROR_INTERNAL_ERROR_5 + 53 + internal error - CPU - 11 + eCTRL_ERROR_INTERNAL_ERROR_6 + 54 + internal error - Memory - 12 + eCTRL_ERROR_INTERNAL_ERROR_7 + 55 + internal error - Firewall - 14 + eCTRL_ERROR_INTERNAL_ERROR_8 + 56 + internal error - FileSystemObject - 16 + eCTRL_ERROR_INTERNAL_ERROR_9 + 57 + internal error - PLC - 18 + eCTRL_ERROR_INVALIDPARAM_WorkArrayADR + 58 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_tOnTime + 59 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_tOffTime + 60 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_nMaxMovingPulses + 61 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_nAdditionalPulsesAtLimits + 62 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_fCtrlOutMax_Min + 63 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_fDeltaMax + 64 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_tMovingTime + 65 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_tDeadTime + 66 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_tAdditionalMoveTimeAtLimits + 67 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_fThreshold + 68 + invalid parameter + + + eCTRL_ERROR_MEMCPY + 69 + MEMCPY failed + + + eCTRL_ERROR_MEMSET + 70 + MEMSET failed + + + eCTRL_ERROR_INVALIDPARAM_nNumberOfColumns + 71 + invalid parameter + + + eCTRL_ERROR_FileClose + 72 + File Close failed + + + eCTRL_ERROR_FileOpen + 73 + File Open failed + + + eCTRL_ERROR_FileWrite + 74 + File Write failed + + + eCTRL_ERROR_INVALIDPARAM_fVeloNeg + 75 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_fVeloPos + 76 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_DeadBandInput + 77 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_DeadBandOutput + 78 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_CycleDuration + 79 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_tStart + 80 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_StepHeigthTuningToLow + 81 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_fMinLimitIsLessThanZero + 82 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_fMaxLimitIsGreaterThan100 + 83 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_fStepSize + 84 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_fOkRangeIsLessOrEqualZero + 85 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_fForceRangeIsLessOrEqualfOkRange + 86 + invalid parameter + + + eCTRL_ERROR_INVALIDPWMPeriod + 87 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_tMinimumPulseTime + 88 + invalid parameter + + + eCTRL_ERROR_FileDelete + 89 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_nNumberOfPwmOutputs + 90 + File Delete failed + + + eCTRL_ERROR_INVALIDPARAM_nPwmInputArray_SIZEOF + 91 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_nPwmOutputArray_SIZEOF + 92 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_nPwmWaitTimesConfig_SIZEOF + 93 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_nPwmInternalData_SIZEOF + 94 + invalid parameter + + + eCTRL_ERROR_SIZEOF + 95 + SiZEOF failed + + + eCTRL_ERROR_INVALIDPARAM_nOrderOfTheTransferfunction + 96 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_nNumeratorArray_SIZEOF + 97 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_nDenominatorArray_SIZEOF + 98 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_a_n_IsZero + 99 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_WorkArraySIZEOF + 100 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_MOVINGRANGE_MIN_MAX + 101 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_MOVINGTIME + 102 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_DEADTIME + 103 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_fMinLimitIsGreaterThanfMaxLimit + 104 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_DataTableSIZEOF + 105 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_OutputVectorDescription + 106 + invalid parameter + + + eCTRL_ERROR_TaskCycleTimeIsLessThanOneMillisecond + 107 + + + + eCTRL_ERROR_INVALIDPARAM_nMinMovingPulses + 108 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_fAcceleration + 109 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_fDeceleration + 110 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_StartAndTargetPos + 111 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_fVelocity + 112 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_fTargetPos + 113 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_fStartPos + 114 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_fMovingLength + 115 + invalid parameter + + + eCTRL_ERROR_NT_GetTime + 116 + internal error NT_GetTime + + + eCTRL_ERROR_INVALIDPARAM_No3PhaseSolutionPossible + 117 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_fStartVelo + 118 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_fTargetVelo + 119 + invalid parameter + + + eCTRL_ERROR_INVALID_NEW_PARAMETER_TYPE + 120 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_fBaseTime + 121 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_nOrderOfTheTransferfunction_SIZEOF + 122 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_nFilterOrder + 124 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_nCoefficientsArray_a_SIZEOF + 125 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_nCoefficientsArray_b_SIZEOF + 126 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_nDigitalFiterData_SIZEOF + 127 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_nLogBuffer_SIZEOF + 128 + invalid parameter + + + eCTRL_ERROR_LogBufferOverflow + 129 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_nLogBuffer_ADR + 130 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_nCoefficientsArray_a_ADR + 131 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_nCoefficientsArray_b_ADR + 132 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_nPwmInputArray_ADR + 133 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_nPwmOutputArray_ADR + 134 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_nPwmWaitTimesConfig_ADR + 135 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_nPwmInternalData_ADR + 136 + invalid parameter + + + eCTRL_ERROR_INVALIDPARAM_nDigitalFiterData_ADR + 137 + invalid parameter - DisplayDevice - 19 + eCTRL_ERROR_INVALIDPARAM_nNumeratorArray_ADR + 138 + invalid parameter - EWF - 20 + eCTRL_ERROR_INVALIDPARAM_nDenominatorArray_ADR + 139 + invalid parameter - FBWF - 21 + eCTRL_ERROR_INVALIDPARAM_nTransferfunction1Data_ADR + 140 + invalid parameter - SiliconDrive - 23 + eCTRL_ERROR_INVALIDPARAM_nTransferfunction2Data_ADR + 141 + invalid parameter - OS - 24 + eCTRL_ERROR_FileSeek + 142 + internal error FB_FileSeek - Raid - 25 + eCTRL_ERROR_INVALIDPARAM_AmbientTempMaxIsLessThanAmbientTempMin + 143 + invalid parameter - Fan - 27 + eCTRL_ERROR_INVALIDPARAM_ForerunTempMaxIsLessThanForerunTempMin + 144 + invalid parameter - Mainboard - 28 + eCTRL_ERROR_INVALIDLOGCYCLETIME + 145 + invalid parameter - DiskManagement - 29 + eCTRL_ERROR_INVALIDVERSION_TcControllerToolbox + 146 - UPS - 30 + eCTRL_ERROR_INVALIDPARAM_Bandwidth + 147 + invalid parameter - PhysicalDrive - 31 + eCTRL_ERROR_INVALIDPARAM_NotchFrequency + 148 + invalid parameter - MassStorage - 32 + eCTRL_ERROR_INVALIDPARAM_DampingCoefficient + 149 + invalid parameter - UWF - 33 + eCTRL_ERROR_INVALIDPARAM_fKpIsLessThanZero + 150 + invalid parameter - Misc - 256 + eCTRL_ERROR_INVALIDPARAM_nSamplesToFilter + 151 + invalid parameter - - - qualified_only - - - ST_IPCDiag_Module - 48 + FB_CTRL_PI + 2240 - eModType - E_IPCDiag_ModuleType - 16 - 0 + fSetpointValue + LREAL + setpoint value of controlled variable + 64 + 64 + + + ItemType + Input + + - nModId - BYTE - dynamically created module id - 8 - 16 + fActualValue + LREAL + actual value of the controlled variable + 64 + 128 + + + ItemType + Input + + - nModIdx - USINT - 1= first instance of this module type (= default) - 8 - 24 + fManSyncValue + LREAL + manual value to synchronize controller output + 64 + 192 + + + ItemType + Input + + - nModCnt - USINT - quantity of instances of this module type in the module list + bSync + BOOL + rising edge sets controller output manual sync value 8 - 32 + 256 + + + ItemType + Input + + - - - ST_IPCDiag_ModuleList - list of modules of the configuration area - 12496 - aModules - ST_IPCDiag_Module - - 0 - 256 - - array idx = nModId of the module - 12288 - 0 + eMode + E_CTRL_MODE + operating mode + 16 + 272 + + + ItemType + Input + + - nModules - UINT - number of all instantiated modules (max.256) - 16 - 12288 + bHold + BOOL + TRUE will hold the controller output at current value + 8 + 288 + + + ItemType + Input + + - sNetId - T_AmsNetID - 192 - 12304 + fOut + LREAL + controller output + 64 + 320 + + + ItemType + Output + + - - - ADSREADEX - Extended ADS read command. - 1472 - NETID - T_AmsNetID - Ams net id - 192 - 64 + bARWactive + BOOL + TRUE indicates that the controller output is restricted + 8 + 384 ItemType - Input + Output - PORT - T_AmsPort - Ads communication port + eState + E_CTRL_STATE + current state of the function block 16 - 256 + 400 ItemType - Input + Output - IDXGRP - UDINT - Index group - 32 - 288 + eErrorId + E_CTRL_ERRORCODES + error code + 16 + 416 ItemType - Input + Output - IDXOFFS + bError + BOOL + TRUE, if error occurs + 8 + 432 + + + ItemType + Output + + + + + stParams + ST_CTRL_PI_PARAMS + parameter structure + 64 + 448 + + + ItemType + InOut + + + + + stInternalParams + ST_CTRL_PI_PARAMS + 384 + 512 + + + stInternalCycleTimeInterpretation + ST_CTRL_CYCLE_TIME_INTERPRETATION + 128 + 896 + + + bFirstInit + BOOL + 8 + 1024 + + true + + + + bFirstCallAfterAStateChange + BOOL + 8 + 1032 + + false + + + + fD_I + LREAL + 64 + 1088 + + + fTaskCycleTime + LREAL + 64 + 1152 + + + fCtrlCycleTime + LREAL + 64 + 1216 + + + fHalfCtrlCycleTime + LREAL + 64 + 1280 + + + fTn + LREAL + 64 + 1344 + + + bIPartEnabled + BOOL + 8 + 1408 + + + fSyncValueInternal + LREAL + 64 + 1472 + + + fLimitValue + LREAL + 64 + 1536 + + + fE + LREAL + 64 + 1600 + + 0 + + + + fE_1 + LREAL + 64 + 1664 + + 0 + + + + fY_I + LREAL + 64 + 1728 + + 0 + + + + fY_I_1 + LREAL + 64 + 1792 + + 0 + + + + fY_P + LREAL + 64 + 1856 + + 0 + + + + fY + LREAL + 64 + 1920 + + 0 + + + + nCtrlCycleTicks UDINT - Index offset 32 - 320 + 1984 + + + nActCtrlCycleTick + UDINT + 32 + 2016 + + + eMode_old + E_CTRL_MODE + 16 + 2048 + + + bMaxLimitReached + BOOL + 8 + 2064 + + + bMinLimitReached + BOOL + 8 + 2072 + + + bSyncRequest + BOOL + 8 + 2080 + + + fbR_TRIG + R_TRIG + 128 + 2112 + + + M_Error + + + M_Reset + + + M_Manual + + + M_Active + + + M_StateChange + + + M_Passive + + + M_Init + + + + PouType + FunctionBlock + + + + + ST_CTRL_RAMP_GENERATOR_PARAMS + 192 + + tTaskCycleTime + TIME + task cycle time [TIME] + 32 + 0 + + + tCtrlCycleTime + TIME + controller cycle time [TIME] + 32 + 32 + + + fVeloPos + LREAL + velocity ramp by time, range > 0.0 + 64 + 64 + + + fVeloNeg + LREAL + velocity ramp by time, range > 0.0 + 64 + 128 + + + + ST_CTRL_RAMP_GENERATOR_EXT_PARAMS + 192 + ST_CTRL_RAMP_GENERATOR_PARAMS + + + FB_CTRL_RAMP_GENERATOR_EXT + 1280 + + fStartValue + LREAL + starting value of the ramp + 64 + 64 ItemType @@ -74067,11 +56969,11 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - LEN - UDINT - Max. number of data bytes to read (LEN <= max. size of destination buffer) - 32 - 352 + fTargetValue + LREAL + target value of the ramp + 64 + 128 ItemType @@ -74080,27 +56982,24 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - DESTADDR - PVOID - Pointer to destination buffer + fManValue + LREAL + manual value to synchronize controller output 64 - 384 + 192 ItemType Input - - TcIgnorePersistent - - READ + bHold BOOL - Rising edge starts command execution + TRUE will hold the controller output at current value 8 - 448 + 256 ItemType @@ -74109,14 +57008,11 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - TMOUT - TIME - Maximum time allowed for the execution of this ADS command - 32 - 480 - - 5000 - + eMode + E_CTRL_MODE + operating mode + 16 + 272 ItemType @@ -74125,11 +57021,11 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - BUSY - BOOL - Busy flag - 8 - 512 + fOut + LREAL + controller output + 64 + 320 ItemType @@ -74138,11 +57034,11 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - ERR - BOOL - Error flag - 8 - 520 + fVeloOut + LREAL + current velocity of the ramp generator + 64 + 384 ItemType @@ -74151,11 +57047,11 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - ERRID - UDINT - ADS error code - 32 - 544 + bValueReached + BOOL + TRUE indicates that target value is reached + 8 + 448 ItemType @@ -74164,11 +57060,11 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - COUNT_R - UDINT - Count of bytes actually read - 32 - 576 + eState + E_CTRL_STATE + current state of the function block + 16 + 464 ItemType @@ -74176,104 +57072,148 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - - - PouType - FunctionBlock - - - hide_all_locals - - - - - FB_IPCDiag_MDPRead - reads a single MDP parameter by its address - 2240 - - bExecute - BOOL - Function block execution is triggered by a rising edge at this input. - 8 - 64 - - - ItemType - Input - - - - nIndex - WORD + eErrorId + E_CTRL_ERRORCODES + error code 16 - 80 + 480 ItemType - Input + Output - nFlags - BYTE + bError + BOOL + TRUE, if error occurs 8 - 96 + 496 ItemType - Input + Output - nSubIndex - BYTE - 8 - 104 + stParams + ST_CTRL_RAMP_GENERATOR_EXT_PARAMS + parameter structure + 64 + 512 ItemType - Input + InOut - pDstBuf - PVOID - Contains the address of the buffer for the received data. + fTaskCycleTime + LREAL 64 - 128 - - - ItemType - Input - - + 576 - nDstBufSize + fCtrlCycleTime + LREAL + 64 + 640 + + + fOutLocal + LREAL + 64 + 704 + + + bGetStartValue + BOOL + 8 + 768 + + true + + + + stInternalParams + ST_CTRL_RAMP_GENERATOR_EXT_PARAMS + 192 + 832 + + + stInternalCycleTimeInterpretation + ST_CTRL_CYCLE_TIME_INTERPRETATION + 128 + 1024 + + + nCtrlCycleTicks UDINT - Contains the max. number of bytes to be received. 32 - 192 - - - ItemType - Input - - + 1152 - tTimeout - TIME - States the time before the function is cancelled. + nActCtrlCycleTick + UDINT 32 - 224 + 1184 + + + eMode_old + E_CTRL_MODE + 16 + 1216 + + + bFirstInit + BOOL + 8 + 1232 - 5000 + true + + + M_Error + + + M_Reset + + + M_Manual + + + M_Active + + + M_StateChange + + + M_Passive + + + M_Init + + + + PouType + FunctionBlock + + + + + FB_CTRL_GET_TASK_CYCLETIME + 768 + + eMode + E_CTRL_MODE + operating mode + 16 + 64 ItemType @@ -74282,26 +57222,24 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - sNetId - T_AmsNetID - keep empty '' for the local device - 192 - 256 - - - + tTaskCycleTime + TIME + resolution 1ms + 32 + 96 ItemType - Input + Output - bBusy + bCycleTimeValid BOOL + TRUE, if cycle time is valid 8 - 448 + 128 ItemType @@ -74310,10 +57248,11 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - bError - BOOL - 8 - 456 + eState + E_CTRL_STATE + current state of the function block + 16 + 144 ItemType @@ -74322,10 +57261,11 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - hrErrorCode - HRESULT - 32 - 480 + eErrorId + E_CTRL_ERRORCODES + error code + 16 + 160 ItemType @@ -74334,11 +57274,11 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - nCount - UDINT - returns the number of bytes received - 32 - 512 + bError + BOOL + TRUE, if error occurs + 8 + 176 ItemType @@ -74347,39 +57287,83 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - nErrID - UDINT + bFirstInit + BOOL + 8 + 184 + + true + + + + nCpuCntLoDW + DWORD 32 - 544 + 192 - nIdxOffset - UDINT + nCpuCntHiDW + DWORD 32 - 576 + 224 - fbAdsReadEx - ADSREADEX - 1472 - 640 - - - .PORT - 10000 - - - .IDXGRP - 62210 - - + nLastcpuCntLoDW + DWORD + 32 + 256 - RisingEdge - R_TRIG - 128 - 2112 + nLastcpuCntHiDW + DWORD + 32 + 288 + + + nCycleTimeDW + DWORD + 32 + 320 + + + nCycleTimeDWold + DWORD + 32 + 352 + + + bFirstCallReady + BOOL + 8 + 384 + + + fbGETCPUCOUNTER + GETCPUCOUNTER + 256 + 448 + + + eMode_old + E_CTRL_MODE + 16 + 704 + + M_Reset + + + M_StateChange + + + M_Active + + + M_Passive + + + M_Init + PouType @@ -74388,15 +57372,26 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - FB_IPCDiag_GetModuleList - requests the full MDP module list - 27712 + FB_PiezoControl + 6720 - bExecute + iq_Piezo + ST_PiezoAxis + 64 + 64 + + + ItemType + InOut + + + + + xExecute BOOL - Function block execution is triggered by a rising edge at this input. + Rising edge being piezo motion 8 - 64 + 128 ItemType @@ -74405,14 +57400,10 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - tTimeout - TIME - States the time before the function is cancelled. - 32 - 96 - - 5000 - + xReset + BOOL + 8 + 136 ItemType @@ -74421,14 +57412,11 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - sNetId - T_AmsNetID - keep empty '' for the local device - 192 - 128 - - - + Enable_Positive + BOOL + Reverse of Positive Limit Switch + 8 + 144 ItemType @@ -74437,10 +57425,24 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - bBusy + Enable_Negative BOOL + Reverse of Negative Limit Switch 8 - 320 + 152 + + + ItemType + Input + + + + + xBusy + BOOL + Busy remains true while piezo position is being adjusted + 8 + 160 ItemType @@ -74449,10 +57451,11 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - bError + xDone BOOL + Reached target position 8 - 328 + 168 ItemType @@ -74461,10 +57464,11 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - hrErrorCode - HRESULT - 32 - 352 + xError + BOOL + General error + 8 + 176 ItemType @@ -74473,10 +57477,11 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - stModuleList - ST_IPCDiag_ModuleList - 12496 - 384 + xLimited + BOOL + Piezo move was limited + 8 + 184 ItemType @@ -74485,99 +57490,216 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - cMaxNoModules - UINT - 16 - 12880 + E_State + E_PiezoControl + ENUM for Piezo Control State + 16 + 192 + + + rtStartMove + R_TRIG + Rising Trigger for Execution + 128 + 256 + + + rtReset + R_TRIG + Rising Trigger for Error reset + 128 + 384 + + + rSetpoint + REAL + Internal Storage of Setpoint + 32 + 512 + + + rReqVoltage + REAL + requested voltage + 32 + 544 + + + rLLSV + REAL + 32 + 576 - 256 + 0 - cMaxModType - UINT - 16 - 12896 + rHLSV + REAL + 32 + 608 - 512 + 120 - bSubExecute + fbPI + FB_CTRL_PI + 2240 + 640 + + + fbRamp + FB_CTRL_RAMP_GENERATOR_EXT + 1280 + 2880 + + + bInitialized BOOL + FB initialized flag 8 - 12912 + 4160 - bSubBusy + fbGetCycleTime + FB_CTRL_GET_TASK_CYCLETIME + Get cycle time for control FBs + 768 + 4224 + + + tTaskCycleTime + TIME + 32 + 4992 + + + bCycleTimeValid BOOL 8 - 12920 + 5024 - aModTypeCnt - USINT - - 0 - 513 - - 4104 - 12928 + rtVoltMode + R_TRIG + 128 + 5056 - nListIdx - UINT - 16 - 17040 + fOut + LREAL + 64 + 5184 - eModType - E_IPCDiag_ModuleType - 16 - 17056 + fPiezoBias + LREAL + 64 + 5248 + + 60 + - nCntModules - UINT - 16 - 17072 + fScale + REAL + 32 + 5312 + + -60 + - fbReadMDP - FB_IPCDiag_MDPRead - 2240 - 17088 + tonPiezoDone + TON + 256 + 5376 - .nIndex - 61456 - - - .nFlags - 1 + .PT + T#2S + + + + tonPiezoLimited + TON + 256 + 5632 + - .nSubIndex - 0 + .PT + T#500MS - aDataList - WORD - - 0 - 513 - - 8208 - 19328 + xVoltageLimited + BOOL + 8 + 5888 - RisingEdge + ftEnPos + F_TRIG + 128 + 5952 + + + ftEnNeg + F_TRIG + 128 + 6080 + + + rtEnPos R_TRIG 128 - 27584 + 6208 + + + rtEnNeg + R_TRIG + 128 + 6336 + + + fOutLimitHolder + LREAL + holds the limit value until restored + 64 + 6464 + + + fOutHiLimHolder + LREAL + holds the limit value until restored + 64 + 6528 + + + fOutLoLimHolder + LREAL + holds the limit value until restored + 64 + 6592 + + + xFirstPass + BOOL + 8 + 6656 + + true + + + ACT_CheckLimits + + + ACT_Controller + PouType @@ -74586,46 +57708,102 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - FB_IPCDiag_Register - initializes the PLC API for IPC diagnostics on a specific IPC - 45376 + E_PitchControl + 16 + INT + + PCM_Init + 0 + Pitch Control Machine + + + PCM_Standby + 1 + + + PCM_MoveRequested + 10 + + + PCM_Coarse50Piezo + 20 + + + PCM_CoarseMove + 21 + + + PCM_CoarseMoveCleanup + 22 + + + PCM_FineMove + 30 + + + PCM_Halt + 50 + + + PCM_Done + 8000 + why is 8000 done? Where did this come from?? + + + PCM_Error + 9000 + Anything above 9000 is considered an error + + + PCM_StepperError + 9100 + + + PCM_PiezoError + 9200 + + + PCM_OtherError + 9300 + + + PCM_STOHit + 9400 + + + + FB_PitchControl + 397888 - bExecute - BOOL - 8 + Pitch + HOMS_PitchMechanism + 64 64 ItemType - Input + InOut - tTimeout - TIME - States the time before the function is cancelled. - 32 - 96 - - 5000 - + Stepper + ST_MotionStage + 64 + 128 ItemType - Input + InOut - sNetId - T_AmsNetID - keep empty '' for the local device - 192 - 128 - - - + lrCurrentSetpoint + LREAL + Setpoint: Epics writes to ST_MotionStage which gets fed into this + 64 + 192 ItemType @@ -74634,11 +57812,10 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - bValid + q_bError BOOL - read data available =(NOT bBusy AND NOT bError) 8 - 320 + 256 ItemType @@ -74647,10 +57824,10 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - bBusy + q_bDone BOOL 8 - 328 + 264 ItemType @@ -74659,11 +57836,10 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - bError + q_bBusy BOOL - TRUE if an error occurred. 8 - 336 + 272 ItemType @@ -74672,1695 +57848,9226 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - hrErrorCode - HRESULT - '< 0' = error; '> 0' = info; '0' = no error/info - 32 - 352 + stDiag + ST_FbDiagnostics + Logging + 49664 + 320 + + + fbFormatString + FB_FormatString + 8576 + 49984 + + + POUName + T_MaxString + Name of the POU for logging/error reporting + 2048 + 58560 - ItemType - Output + instance-path + + + no_init - ipErrorMessage - I_TcMessage - shows detailed information about occurred errors + lrActPos + LREAL + Actual Position of piezo mechanism 64 - 384 - - - ItemType - Output - - + 60608 - stModuleList - ST_IPCDiag_ModuleList - 12496 - 448 - - - ItemType - Output - - + lrPrevStepperPos + LREAL + Previous successfully achieved stepper position + 64 + 60672 - RisingEdge - R_TRIG + ftLimitSwitch + F_TRIG 128 - 12992 - - - conditionalshow - - + 60736 - fbGetModList - FB_IPCDiag_GetModuleList - 27712 - 13120 - - - conditionalshow - - + lrOriginalPosRequest + LREAL + Used for logging + 64 + 60864 - fbErrorMessage - FB_TcMessage - 4224 - 40832 - - - conditionalshow - - + lrLastSetpoint + LREAL + Previous successfully achieved setpoint + 64 + 60928 - FallingEdgeBUSY - F_TRIG + fbMotionRequest + FB_MotionRequest + 1920 + 60992 + + + fbMotionStage + FB_MotionStage + 327424 + 62912 + + + bLimitHit + BOOL + 8 + 390336 + + + tonStepperHold + TON + Timer to hold stepper position while the system relaxes + 256 + 390400 + + + .PT + T#100MS + + + + + rSettledRange + REAL + Units = urad + 32 + 390656 + + 5 + + + + bResetStepper + BOOL + 8 + 390688 + + + bExecuteStepper + BOOL + 8 + 390696 + + + enumMotionRequest + ENUM_MotionRequest + Wait for move to complete before taking another request + 16 + 390704 + + E_MotionRequest.WAIT + + + + tonPiezoSettled + TON + Piezo + 256 + 390720 + + + .PT + T#2S + + + + + fbPiezoControl + FB_PiezoControl + 6720 + 390976 + + + rtPiezoMoveDone + R_TRIG 128 - 45056 - - - conditionalshow - - + 397696 + + + PC_State + E_PitchControl + State Machine + 16 + 397824 + + E_PitchControl.PCM_Init + - stEventEntryTemp - TcEventEntry - 192 - 45184 - - - conditionalshow - - + bCoarse50PiezoMove + BOOL + 8 + 397840 + + ACT_ResetSetpoint + PouType FunctionBlock - - conditionalshow_all_locals - - - - - USINT (1..255) - 8 - USINT - - - LowerBorder - 1 - - - UpperBorder - 255 - - I_IPCDiag_AccessParameter - 64 - PVOID - - GetParameter - access a read parameter (if more than one value is available all values can be copied at once, except for STRING types) - HRESULT - 32 - - pBuffer - parameter buffer with a given size of nBufferSize - PVOID - 64 - - - nBufferSize - buffer size in bytes (for one or more values) - UDINT - 32 - - - - GetParameterByIdx - access a read parameter by index specification - HRESULT - 32 - - pBuffer - parameter buffer with a given size of nBufferSize - PVOID - 64 - - - nBufferSize - buffer size in bytes (for one parameter value) - UDINT - 32 - - - nParameterIdx - selection of parameter value (1..nReadParameterValues) (e.g. equals list index in case of list parameter) - USINT (1..255) - 8 - - - - GetParameterStrings - access a read string parameter (if more than one value is available all values can be copied to an ARRAY OF STRING at once) - HRESULT - 32 - - pBuffer - parameter buffer with a given size of nBufferSize - PVOID - 64 - - - nBufferSize - buffer size in bytes (for one or more values) - UDINT - 32 - - - nStrings - number of strings to be copied (each string with size=nBufferSize/nStrings) - USINT - 8 - - - - - I_DynMem_Manager - 64 - PVOID - - nAllocatedSize - ULINT - currently allocated dynamic memory byte size - 64 - - - nBufferCount - ULINT - current number of allocated byte buffers - 64 - - - nObjectCount - ULINT - current number of allocated objects - 64 - - - __getnAllocatedSize - ULINT - 64 - - - property - - - - - __getnBufferCount - ULINT - 64 - - - property - - - - - __getnObjectCount - ULINT - 64 - - - property - - - - - Alloc - | Method allocates new dynamic memory with the given size. -| If the return value is 0 memory was unavailable. - PVOID - 64 - - nSize - requested size in bytes - UDINT - 32 - - - bReset - zero the allocated memory - BOOL - 8 - - - - Free - - p - PVOID - 64 - - - nSize - UDINT - 32 - - - - - E_IPCDiag_ParameterKey - 64 - LWORD - - Unselected - 0 - - - IPCDeviceName - 30399297753710592 - IPC device name, STRING, read/write (MDP general area) - - - IPCHardwareVersion - 7881299616923648 - IPC hardware version, STRING, constant (MDP general area) - - - IPCImageVersion - 7881299616989184 - IPC image version, STRING, constant (MDP general area) - - - IPCVendor - 6473924734353409 - IPC vendor, UDINT, constant (MDP general area) - - - IPCSerialNumber - 12384903168524288 - serial number (or BTN) of Beckhoff IPC, STRING, read-only (MDP device area) - - - CPU_Name - 12384948368441347 - CPU name, STRING, read-only - - - CPU_Frequency - 6473973857583105 - CPU Frequency, UDINT, constant - - - CPU_Usage - 10414623531532290 - Current CPU Usage (%), UINT, read-only - - - CPU_Temp - 10133148554821635 - Current CPU Temperature (°C), INT, read-only - - - Disk_NoVolumes - 10414700840943616 - number of volumes (VolumeList length), UINT, read-only - - - Disk_VolumeList_DriveLetter - 156500213753774081 - drive letter, STRING, read-only - + E_ReadMode + 16 + INT - Disk_VolumeList_Label - 174514612263321601 - volume label, STRING, read/write (write is not supported under WinCE) + READMODE_ONCE + 1 - Disk_VolumeList_FileSystem - 156500213753905153 - file system, STRING, read-only + READMODE_CYCLIC + 2 + + + MC_AxisParameter + 16 + INT - Disk_VolumeList_DriveType - 155092838870417409 - drive type (0=Unknown,1=Fixed,2=Removable,4=CDROM), UDINT, read-only + CommandedPosition + 1 + taken from NcToPlc - Disk_VolumeList_TotelSize - 155655788823904257 - total size (bytes), ULINT, read-only + SWLimitPos + 2 + IndexOffset= 16#0001_000E - Disk_VolumeList_FreeSpace - 155655788823969793 - free space (bytes), ULINT, read-only + SWLimitNeg + 3 + IndexOffset= 16#0001_000D - Disk_FreeSpaceOfVolumeC - 9234912637602496512 - free space of the volume with drive letter 'C' (bytes), ULINT, read-only + EnableLimitPos + 4 + IndexOffset= 16#0001_000C - Disk_FreeSpaceOfVolumeD - 9234912637602496513 - free space of the volume with drive letter 'D' (bytes), ULINT, read-only + EnableLimitNeg + 5 + IndexOffset= 16#0001_000B - Display_ModuleCnt - 81909301975711744 - number of Display modules, USINT, read-only + EnablePosLagMonitoring + 6 + IndexOffset= 16#0002_0010 - Display_Name - 12384982728179715 - display device name, STRING, read-only + MaxPositionLag + 7 + IndexOffset= 16#0002_0012 - Display_IdxOfActiveMode - 27866106447331329 - index of active display mode (1..n), USINT, read/write + MaxVelocitySystem + 8 + IndexOffset= 16#0000_0027 - Display_NoModes - 10414657891336192 - number of available display modes (ModeList length), UINT, read-only + MaxVelocityAppl + 9 + IndexOffset= 16#0000_0027 - Display_ModeList_ModeDef - 156500170804166657 - display mode definition, STRING, read-only + ActualVelocity + 10 + taken from NcToPlc - Display_PrimaryDisplay - 9288757984559105 - is primary display, BOOL, read-only + CommandedVelocity + 11 + taken from NcToPlc - Display_ComPort - 30399381237858306 - COM port, STRING, read/write + MaxAccelerationSystem + 12 + IndexOffset= 16#0000_0101 - Display_Version - 10977607844823043 - version, UDINT, read-only + MaxAccelerationAppl + 13 + IndexOffset= 16#0000_0101 - Display_Brightness - 28992006354305028 - brightness in % (20..100), UDINT, read/write + MaxDecelerationSystem + 14 + IndexOffset= 16#0000_0102 - Display_Light - 27303156494041093 - light, BOOL, read/write + MaxDecelerationAppl + 15 + IndexOffset= 16#0000_0102 - Fan_ModuleCnt - 81909336335450112 - number of Fan modules, USINT, read-only + MaxJerkSystem + 16 + IndexOffset= 16#0000_0103 - Fan_Name - 12385017087918083 - fan adapter name, STRING, read-only + MaxJerkAppl + 17 + IndexOffset= 16#0000_0103 - Fan_Speed - 10133217274298369 - fan speed (rpm), INT, read-only + AxisId + 1000 + IndexOffset= 16#0000_0001 - MB_Type - 12385021382950913 - mainboard type, STRING, read-only + AxisVeloManSlow + 1001 + IndexOffset= 16#0000_0008 - MB_SerialNumber - 12385021382950914 - mainboard serial number, STRING, read-only + AxisVeloManFast + 1002 + IndexOffset= 16#0000_0009 - MB_ProductionDate - 12385021382950915 - mainboard production date, STRING, read-only + AxisVeloMax + 1003 + IndexOffset= 16#0000_0027 - MB_BootCnt - 10977646499397636 - boot count, UDINT, read-only + AxisAcc + 1004 + IndexOffset= 16#0000_0101 - MB_OperationTime - 10977646499397637 - opertaion time (minutes), UDINT, read-only + AxisDec + 1005 + IndexOffset= 16#0000_0102 - MB_MinTemperature - 10696171522686982 - min.mainboard temperature (°C), DINT, read-only + AxisJerk + 1006 + IndexOffset= 16#0000_0103 - MB_MaxTemperature - 10696171522686983 - max.mainboard temperature (°C), DINT, read-only + MaxJerk + 1007 + IndexOffset= 16#0000_0103 - MB_MinInputVoltage - 10696171522686984 - min.mainboard input voltage (mV), DINT, read-only + AxisMaxVelocity + 1008 + IndexOffset= 16#0000_0027 - MB_MaxInputVoltage - 10696171522686985 - max.mainboard input voltage (mV), DINT, read-only + AxisRapidTraverseVelocity + 1009 + IndexOffset= 16#0000_000A - MB_Temperature - 10133221569265674 - mainboard temperature (°C), INT, read-only + AxisManualVelocityFast + 1010 + IndexOffset= 16#0000_0009 - MB_Revision - 9851746592620545 - mainboard revision, USINT, read-only + AxisManualVelocitySlow + 1011 + IndexOffset= 16#0000_0008 - MB_BiosVersionMajor - 9851746592620546 - bios major version, USINT, read-only + AxisCalibrationVelocityForward + 1012 + IndexOffset= 16#0000_0006 - MB_BiosVersionMinor - 9851746592620547 - bios minor version, USINT, read-only + AxisCalibrationVelocityBackward + 1013 + IndexOffset= 16#0000_0007 - MB_BiosVersion - 12385021383016452 - bios version, STRING, read-only + AxisJogIncrementForward + 1014 + IndexOffset= 16#0000_0018 - MB_NoVoltageMeasurements - 10414696546107392 - number of voltage measurements (VoltageList length), UINT, read-only + AxisJogIncrementBackward + 1015 + IndexOffset= 16#0000_0019 - MB_VoltageList_Name - 154248409645252609 - name of voltage measurement, STRING, read-only + AxisEnMinSoftPosLimit + 1016 + IndexOffset= 16#0001_000B - MB_VoltageList_Location - 154248409645318145 - location of voltage measurement (E_IPCDiag_MBVoltageLocation), INT, read-only + AxisMinSoftPosLimit + 1017 + IndexOffset= 16#0001_000D - MB_VoltageList_Value - 154248409645383681 - value of voltage measurement (mV), INT, read-only + AxisEnMaxSoftPosLimit + 1018 + IndexOffset= 16#0001_000C - MB_VoltageList_NominalValue - 154248409645449217 - nominal value of voltage measurement (mV), INT, read-only + AxisMaxSoftPosLimit + 1019 + IndexOffset= 16#0001_000E - Memory_ProgramMemoryAllocated - 11540527733342214 - program memory (RAM) allocated (bytes), ULINT, read-only + AxisEnPositionLagMonitoring + 1020 + IndexOffset= 16#0002_0010 - Memory_ProgramMemoryAvailable - 11540527733342215 - program memory (RAM) available (bytes), ULINT, read-only + AxisMaxPosLagValue + 1021 + IndexOffset= 16#0002_0012 - Misc_StartupNumLockState - 27304174401159169 - Numlock state at system startup, BOOL, read/write + AxisMaxPosLagFilterTime + 1022 + IndexOffset= 16#0002_0013 - Misc_AutoLogonUser - 12386000635494405 - auto logon user at system startup, STRING, read-only + AxisEnPositionRangeMonitoring + 1023 + IndexOffset= 16#0000_000F - NIC_ModuleCnt - 81909228961267712 - number of NIC modules, USINT, read-only + AxisPositionRangeWindow + 1024 + IndexOffset= 16#0000_0010 - NIC_Name - 12384909713735683 - NIC adapter name, STRING, read-only + AxisEnTargetPositionMonitoring + 1025 + IndexOffset= 16#0000_0015 - NIC_MACAddress - 7881310086430721 - MAC address, STRING, constant + AxisTargetPositionWindow + 1026 + IndexOffset= 16#0000_0016 - NIC_IPv4Address - 30399308223283202 - IPv4 Address, STRING, read/write + AxisTargetPositionMonitoringTime + 1027 + IndexOffset= 16#0000_0017 - NIC_IPv4SubnetMask - 30399308223283203 - IPv4 Subnet Mask, STRING, read/write + AxisEnInTargetTimeout + 1028 + IndexOffset= 16#0000_0029 - NIC_DHCP - 27303083479465988 - DHCP, BOOL, read/write + AxisInTargetTimeout + 1029 + IndexOffset= 16#0000_002A - NIC_IPv4DefGateway - 30399308223283205 - IPv4 Default Gateway, STRING, read/write + AxisEnMotionMonitoring + 1030 + IndexOffset= 16#0000_0011 - NIC_IPv4DNSServers - 30399308223283206 - IPv4 DNS servers (comma separated), STRING, read/write + AxisMotionMonitoringWindow + 1031 + IndexOffset= 16#0000_0028 - NIC_IPv4DNS - 30399308223283206 - IPv4 DNS servers (comma separated), STRING, read/write + AxisMotionMonitoringTime + 1032 + IndexOffset= 16#0000_0012 - NIC_VirtualDeviceName - 30399308223283207 - virtual device name, STRING, read/write + AxisDelayTimeVeloPosition + 1033 + IndexOffset= 16#0000_0104 - NIC_IPv4DNSServersActive - 12384909713801224 - IPv4 DNS active servers (only under TC/BSD and TC/RTOS), STRING, read-only + AxisEnLoopingDistance + 1034 + IndexOffset= 16#0000_0013 - OS_Name - 12385004203016195 - name of OS (operating system), STRING, read-only + AxisLoopingDistance + 1035 + IndexOffset= 16#0000_0014 - OS_VersionMajor - 28992027829010433 - OS major version, UDINT, read-only + AxisEnBacklashCompensation + 1036 + IndexOffset= 16#0000_002B - OS_VersionMinor - 28992027829010434 - OS minor version, UDINT, read-only + AxisBacklash + 1037 + IndexOffset= 16#0000_002C - OS_VersionBuild - 28992027829010435 - OS build version, UDINT, read-only + AxisEnDataPersistence + 1038 + IndexOffset= 16#0000_0030 - OS_CSDVersion - 30399402712563716 - OS CSD version, STRING, read-only + AxisRefVeloOnRefOutput + 1039 + IndexOffset= 16#0003_0101 - PhyDrv_ModuleCnt - 81909353515319296 - number of physical drive modules, USINT, read-only + AxisOverrideType + 1040 + IndexOffset= 16#0000_0105 - PhyDrv_SerialNumber - 12385034267787267 - serial number of physical drive, STRING, read-only + AxisEncoderScalingFactor + 1041 + IndexOffset= 16#0001_0006 - PhyDrv_Index - 10977659384299521 - index, UDINT, read-only + AxisEncoderOffset + 1042 + IndexOffset= 16#0001_0007 - PhyDrv_Caption - 12385034267852802 - caption, STRING, read-only + AxisEncoderDirectionInverse + 1043 + IndexOffset= 16#0001_0008 - PhyDrv_LogicalPartitions - 12385034267852803 - logical partitions (comma separated list), STRING, read-only + AxisEncoderMask + 1044 + IndexOffset= 16#0001_0015 - PhyDrv_PartitionCnt - 10977659384299524 - partition count, UDINT, read-only + AxisEncoderModuloValue + 1045 + IndexOffset= 16#0001_0009 - PhyDrv_TotalCylinders - 11540609337720837 - total cylinders, ULINT, read-only + AxisModuloToleranceWindow + 1046 + IndexOffset= 16#0001_001B - PhyDrv_TotalHeads - 10977659384299526 - total heads, UDINT, read-only + AxisEnablePosCorrection + 1047 + IndexOffset= 16#0001_0016 - PhyDrv_TotalSectors - 11540609337720839 - total sectors, ULINT, read-only + AxisPosCorrectionFilterTime + 1048 + IndexOffset= 16#0001_0017 - PhyDrv_TotalTracks - 11540609337720840 - total tracks, ULINT, read-only + AxisUnitInterpretation + 1049 + added 5/20/2008 KSt - PhyDrv_NoSMARTAttributes - 10414709430943744 - number of SMART attributes (SMARTAttrList length), UINT, read-only + AxisMotorDirectionInverse + 1050 + IndexOffset= 16#0003_0006 - PhyDrv_SMARTAttrList_ID - 153966947553378305 - attribute ID, USINT, read-only + AxisCycleTime + 1051 + IndexOffset= 16#0000_0004 - PhyDrv_SMARTAttrList_StatusFlag - 154529897506865153 - status flag, UINT, read-only + AxisFastStopSignalType + 1052 + IndexOffset= 16#0000_001E - PhyDrv_SMARTAttrList_CurrentValue - 153966947553509377 - current value, USINT, read-only + AxisFastAcc + 1053 + IndexOffset= 16#0000_010A - PhyDrv_SMARTAttrList_WorstValue - 153966947553574913 - worst value, USINT, read-only + AxisFastDec + 1054 + IndexOffset= 16#0000_010B - PhyDrv_SMARTAttrList_RawData - 156500222344036353 - raw data (6 bytes), STRING, read-only + AxisFastJerk + 1055 + IndexOffset= 16#0000_010C - PhyDrv_SMARTAttrList_Threshold - 153966947553705985 - threshold, USINT, read-only + AxisEncoderScalingNumerator + 1056 + IndexOffset= 16#0001_0023 - available in Tc3 - MassStg_NoDrives - 10414713725845504 - number of mass storage drives (DriveList length), UINT, read-only + AxisEncoderScalingDenominator + 1057 + IndexOffset= 16#0001_0024 - available in Tc3 - MassStg_DriveList_SerialNumber - 156500226638675969 - serial number of mass storage drive, STRING, read-only + AxisMaximumAcceleration + 1058 + IndexOffset= 16#0000_00F1 - available in Tc3 - MassStg_DriveList_SataPort - 153966951848345601 - SATA-Port of mass storage drive, USINT, read-only + AxisMaximumDeceleration + 1059 + IndexOffset= 16#0000_00F2 - available in Tc3 - MassStg_DriveList_PartitionLetter - 156500226638807041 - partition letter(s) of mass storage drive, STRING, read-only + AxisVeloJumpFactor + 1060 + IndexOffset= 16#0000_0106 - MassStg_DriveList_DriveName - 156500226638872577 - drive name, STRING, read-only + AxisToleranceBallAuxAxis + 1061 + IndexOffset= 16#0000_0108 - MassStg_DriveList_DriveType - 153966951848542209 - drive type (0=Unknown,1=HD,2=SSD,3=CFast,4=CF), USINT, read-only + AxisMaxPositionDeviationAuxAxis + 1062 + IndexOffset= 16#0000_0109 - MassStg_DriveList_EraseCntAverage - 155655801708871681 - erase count average (flashdrive SMART), ULINT, read-only + AxisErrorPropagationMode + 1063 + IndexOffset= 16#0000_001A - MassStg_DriveList_EraseCntSpec - 155655801708937217 - erase count specified (flashdrive SMART), ULINT, read-only + AxisErrorPropagationDelay + 1064 + IndexOffset= 16#0000_001B - MassStg_DriveList_EraseCyclesLeft - 154248426825449473 - erase cycles left in percent (flashdrive SMART), INT, read-only + AxisCoupleSlaveToActualValues + 1065 + IndexOffset= 16#0000_001C - MassStg_DriveList_SpareBlocksRemain - 155655801709068289 - spare blocks remaining (flashdrive SMART), ULINT, read-only + AxisAllowMotionCmdToSlaveAxis + 1066 + IndexOffset= 16#0000_0020 - MassStg_DriveList_SpareBlocksInit - 155655801709133825 - spare blocks initial (flashdrive SMART), ULINT, read-only + AxisAllowMotionCmdToExtSetAxis + 1067 + IndexOffset= 16#0000_0021 - MassStg_DriveList_SpareBlocksLeft - 154248426825646081 - spare blocks left in percent (flashdrive SMART), INT, read-only + AxisEncoderSubMask + 1068 + IndexOffset= 16#0001_0108 - MassStg_DriveList_ReallocatedSectors - 155655801709264897 - reallocated sectors (harddisk SMART), ULINT, read-only + AxisEncoderReferenceSystem + 1069 + IndexOffset= 16#0001_0019 - MassStg_DriveList_SpinRetries - 155655801709330433 - spin retries (harddisk SMART), ULINT, read-only + AxisEncoderPositionFilterPT1 + 1070 + IndexOffset= 16#0001_0010 - MassStg_DriveList_PendingSectors - 155655801709395969 - pending sectors (harddisk SMART), ULINT, read-only + AxisEncoderVelocityFilterPT1 + 1071 + IndexOffset= 16#0001_0011 - MassStg_DriveList_UltraDmaCrcErrors - 155655801709461505 - Ultra DMA CRC Errors (harddisk SMART), ULINT, read-only + AxisEncoderAccelerationFilterPT1 + 1072 + IndexOffset= 16#0001_0012 - Software_MDPVersion - 9235756980928249856 - version of the MDP.exe service, STRING, read-only + AxisEncoderMode + 1073 + IndexOffset= 16#0001_000A - Software_NoSoftwares - 10414619236564992 - number of software components (SWList length), UINT, read-only + AxisEncoderHomingInvDirCamSearch + 1074 + IndexOffset= 16#0001_0101 - Software_SWList_Name - 156500132149395457 - name of software, STRING, read-only + AxisEncoderHomingInvDirSyncSearch + 1075 + IndexOffset= 16#0001_0102 - Software_SWList_Company - 156500132149460993 - company of software, STRING, read-only + AxisEncoderHomingCalibValue + 1076 + IndexOffset= 16#0001_0103 - Software_SWList_Date - 156500132149526529 - date of software, STRING, read-only + AxisEncoderReferenceMode + 1077 + IndexOffset= 16#0001_0107 - Software_SWList_Version - 156500132149592065 - version of software, STRING, read-only + AxisRefVeloOutputRatio + 1078 + IndexOffset= 16#0003_0102 - TC_Version - 9235756972338315264 - TwinCAT version, STRING, read-only + AxisDrivePositionOutputScaling + 1079 + IndexOffset= 16#0003_0109 - TC_VersionMajor - 10414610646630401 - TwinCAT major version, UINT, read-only + AxisDriveVelocityOutputScaling + 1080 + IndexOffset= 16#0003_0105 - TC_VersionMinor - 10414610646630402 - TwinCAT minor version, UINT, read-only + AxisDriveVelocityOutputDelay + 1081 + IndexOffset= 16#0003_010D - TC_VersionBuild - 10414610646630403 - TwinCAT build version, UINT, read-only + AxisDriveMinOutputLimitation + 1082 + IndexOffset= 16#0003_000B - TC_VersionRevision - 10414610646630412 - TwinCAT revision version, UINT, read-only + AxisDriveMaxOutputLimitation + 1083 + IndexOffset= 16#0003_000C - TC_AmsNetID - 30399333993086980 - Ams Net ID, STRING, read/write (reboot required) + AxisTorqueInputScaling + 1084 + IndexOffset= 16#0003_0031 - available in Tc3 - TC_Status - 10414610646630406 - TwinCAT status, UINT, read-only + AxisTorqueInputFilterPT1 + 1085 + IndexOffset= 16#0003_0032 - available in Tc3 - TC_RunAsDev - 28429009156112391 - run as device (only under WinCE), UINT, read/write + AxisTorqueDerivationInputFilterPT1 + 1086 + IndexOffset= 16#0003_0033 - available in Tc3 - TC_ShowTargetVisu - 28429009156112392 - show target visu (only under WinCE), UINT, read/write + AxisTorqueOutputScaling + 1087 + IndexOffset= 16#0003_010B - TC_LogFileSize - 28991959109533705 - log file size (only under WinCE), UDINT, read/write + AxisTorqueOutputDelay + 1088 + IndexOffset= 16#0003_010F - TC_LogFilePath - 30399333993086986 - log file path (only under WinCE), STRING, read/write + AxisAccelerationOutputScaling + 1089 + IndexOffset= 16#0003_010A - TC_SystemID - 12384935483605003 - TwinCAT system ID, STRING, read-only + AxisAccelerationOutputDelay + 1090 + IndexOffset= 16#0003_010E - TC_NoRoutes - 10414610646695936 - number of TwinCAT ads routes (RouteList length), UINT, read-only + AxisDrivePosOutputSmoothFilterType + 1091 + IndexOffset= 16#0003_0110 - TC_RouteList_Name - 156500123559526401 - name of TC ads route, STRING, read-only + AxisDrivePosOutputSmoothFilterTime + 1092 + IndexOffset= 16#0003_0111 - TC_RouteList_Address - 156500123559591937 - address of TC ads route, STRING, read-only + AxisDrivePosOutputSmoothFilterOrder + 1093 + IndexOffset= 16#0003_0112 - TC_RouteList_AmsAddress - 156500123559657473 - address of TC ads route, STRING, read-only + AxisDriveMode + 1094 + IndexOffset= 16#0003_000A - TC_RouteList_Flags - 155092748676169729 - flags of TC ads route, UDINT, read-only + AxisDriftCompensationOffset + 1095 + IndexOffset= 16#0003_0104 - TC_RouteList_Timeout - 155092748676235265 - timeout of TC ads route, UDINT, read-only + AxisPositionControlKv + 1096 + IndexOffset= 16#0002_0102 - TC_RouteList_Transport - 154529798722879489 - transport type of TC ads route (Tc2_Utilities.E_RouteTransportType), UINT, read-only + AxisCtrlVelocityPreCtrlWeight + 1097 + IndexOffset= 16#0002_000B - TC_Logfile - 12384935484063744 - TwinCAT Logfile, STRING, read-only + AxisControllerMode + 1098 + IndexOffset= 16#0002_000A - TC_RouterMemoryMaximum - 11540510553997313 - maximum router memory size (bytes), ULINT, read-only + AxisCtrlAutoOffset + 1099 + IndexOffset= 16#0002_0110 - TC_RouterMemoryAvailable - 11540510553997314 - available router memory size (bytes), ULINT, read-only + AxisCtrlAutoOffsetTimer + 1100 + IndexOffset= 16#0002_0115 - TC_RouterRegisteredPorts - 10977560600576003 - registered ports, UDINT, read-only + AxisCtrlAutoOffsetLimit + 1101 + IndexOffset= 16#0002_0114 - TC_RouterRegisteredDrivers - 10977560600576004 - registered drivers, UDINT, read-only + AxisSlaveCouplingControlKcp + 1102 + IndexOffset= 16#0002_010F - TC_RouterRegisteredTransports - 10977560600576005 - registered transports, UDINT, read-only + AxisCtrlOutputLimit + 1103 + IndexOffset= 16#0002_0100 - TC_RouterDebugWindow - 9288710740312070 - debug window (TRUE if ADS logger is active), BOOL, read-only + AxisFadingAccleration + 1104 + IndexOffset= 16#0000_001D - TC_RouterMailboxSize - 10977560600576007 - router mailbox size, UDINT, read-only + AxisTargetPosition + 2000 + IndexOffset= 16#0000_0013 - TC_RouterMailboxUsedEntries - 10977560600576008 - used entries in router mailbox, UDINT, read-only + AxisRemainingTimeToGo + 2001 + IndexOffset= 16#0000_0014 - TC_HeapMemoryMaximum - 11540510554062849 - maximum memory for TcOS (bytes) (only under TC/RTOS), ULINT, read-only + AxisRemainingDistanceToGo + 2002 + IndexOffset= 16#0000_0022, 16#0000_0042 - TC_HeapMemoryAvailable - 11540510554062850 - available memory in TcOS (bytes) (only under TC/RTOS), ULINT, read-only + AxisGearRatio + 3000 + read:IdxGrp=0x4100+ID, IdxOffs=16#0000_0022, write:IdxGrp=0x4200+ID, IdxOffs=16#0000_0042 - Time_SNTPServer - 30399312518250497 - SNTP server name or IP (empty string if no sync), STRING, read/write + NcSafCycleTime + 4000 + IndexOffset= 16#0000_0010 - Time_SNTPRefresh - 28991937634697218 - SNTP refresh in seconds, UDINT, read/write + NcSvbCycleTime + 4001 + IndexOffset= 16#0000_0012 - Time_SecondsSince1970UTC - 28991937634697219 - seconds since midnight January 1. 1970 as UTC, UDINT, read/write + AxisMasPositionDeviationAuxAxis + 32000 + lreal + IndexOffset= 16#0000_0109 + added for compatibility reasons (write error AxisMasPositionDeviationAuxAxis changed to AxisMaxPositionDeviationAuxAxis) 2018-05-03 KSt + + + _E_ParameterType + 16 + INT - Time_DateAndTime - 30399312518250500 - date and time (ISO 8601) as local time, STRING, read/write + PARAMETERTYPE_NOTYPE + 0 - Time_OffsetLocalTimeToUTC - 28710462657986566 - offset in seconds of local time to UTC, DINT, read/write + PARAMETERTYPE_BOOL + 1 - Time_SecondsSince1970 - 28991937634697223 - seconds since midnight January 1. 1970 as local time, UDINT, read/write + PARAMETERTYPE_DWORD + 2 + + + PARAMETERTYPE_LREAL + 3 + + + conditionalshow + + + + + _ST_ParaStruct + 192 + + Port + UINT + 2014-07-14 KSt + 16 + 0 + + + IndexGroup + UDINT + 32 + 32 + + + IndexOffset + UDINT + 32 + 64 + + + ParaType + _E_ParameterType + 16 + 96 + + + LrealSize + UDINT + sizeof Lreal data array + 32 + 128 + + + LrealOffset + UDINT + offset in lreal data array + 32 + 160 + + + + conditionalshow + + + + + _FB_ReadWriteParameter + 4352 + + Axis + AXIS_REF + Reference to an axis + 64 + 64 + + + ItemType + InOut + + + + + Enable + BOOL + 8 + 128 + + + ItemType + Input + + + + + ParameterNumber + MC_AxisParameter + 16 + 144 + + + ItemType + Input + + + + + Mode + INT + read/write + 16 + 160 + + + ItemType + Input + + + + + Done + BOOL + 8 + 176 + + + ItemType + Output + + + + + Busy + BOOL + 8 + 184 + + + ItemType + Output + + + + + Error + BOOL + 8 + 192 + + + ItemType + Output + + + + + ErrorID + UDINT + 32 + 224 + + + ItemType + Output + + + displaymode + hex + + + + + ADSbusy + BOOL + 8 + 256 + + + ItemType + Output + + + + + ValueLreal + LREAL + 64 + 320 + + + ItemType + InOut + + + + + ValueDword + DWORD + 64 + 384 + + + ItemType + InOut + + + + + ValueBool + BOOL + 64 + 448 + + + ItemType + InOut + + + + + iState + _E_TcMC_STATES + 16 + 512 + + _E_TcMC_STATES.STATE_INITIALIZATION + + + + fbAdsRead + ADSREAD + 1408 + 576 + + + fbAdsWrite + ADSWRITE + 1344 + 1984 + + + dwValue + DWORD + 32 + 3328 + + + lrValue + LREAL + + 1 + 10 + + 640 + 3392 + + + NcBoolValue + UINT + 16 + 4032 + + + bStarted + BOOL + 8 + 4048 + + + stParaStruct + _ST_ParaStruct + 192 + 4064 + + + n + INT + 16 + 4256 + + + i + INT + 16 + 4272 + + + ParaLREAL + INT + 16 + 4288 + + 2 + + + + + PouType + FunctionBlock + + + conditionalshow + + + + + MC_ReadParameter + 4992 + + Axis + AXIS_REF + Reference to an axis + 64 + 64 + + + ItemType + InOut + + + + + Enable + BOOL + 8 + 128 + + + ItemType + Input + + + + + ParameterNumber + INT + 16 + 144 + + + ItemType + Input + + + + + ReadMode + E_ReadMode + Beckhoff proprietary input + 16 + 160 + + E_ReadMode.READMODE_ONCE + + + + ItemType + Input + + + + + Valid + BOOL + 8 + 176 + + + ItemType + Output + + + + + Busy + BOOL + 8 + 184 + + + ItemType + Output + + + + + Error + BOOL + 8 + 192 + + + ItemType + Output + + + + + ErrorID + UDINT + 32 + 224 + + + ItemType + Output + + + displaymode + hex + + + + + Value + LREAL + 64 + 256 + + + ItemType + Output + + + + + ADSbusy + BOOL + 8 + 320 + + + fbReadWriteParameter + _FB_ReadWriteParameter + 4352 + 384 + + + nParatype + _E_ParameterType + 16 + 4736 + + + conditionalshow + + + + + dwValue + DWORD + 32 + 4768 + + + conditionalshow + + + + + bValue + BOOL + 8 + 4800 + + + conditionalshow + + + + + bStarted + BOOL + 8 + 4808 + + + conditionalshow + + + + + fbTrigger + R_TRIG + 128 + 4864 + + + conditionalshow + + + + + + PouType + FunctionBlock + + + + + E_MR1K1_States + 16 + UINT - UPS_Name - 12385029972819971 - UPS name, STRING, read-only + Unknown + 0 - UPS_Model - 12385029972885505 - UPS model, STRING, read-only + B4C + 1 - UPS_Vendor - 12385029972885506 - vendor name, STRING, read-only + OUT + 2 + + + qualified_only + + + strict + + + generate_implicit_init_function + + + + + FB_AnalogInput + 512 + + iRaw + INT + Connect this input to the terminal + 16 + 64 + + + ItemType + Input + + + TcAddressType + Input + + + + + iTermBits + UINT + The number of bits correlated with the terminal's max value. This is not necessarily the resolution parameter. + 16 + 80 + + + ItemType + Input + + + + + fTermMax + LREAL + The fReal value correlated with the terminal's max value + 64 + 128 + + + ItemType + Input + + + + + fTermMin + LREAL + The fReal value correlated with the terminal's min value + 64 + 192 + + + ItemType + Input + + + + + fResolution + LREAL + Value to scale the end result to + 64 + 256 + + 1 + + + + ItemType + Input + + + pytmc + + pv: RES + io: io + + + + + + fOffset + LREAL + 64 + 320 + + + ItemType + Input + + + pytmc + + pv: OFF + io: io + + + + + + fReal + LREAL + The real value read from the output + 64 + 384 + + + ItemType + Output + + + pytmc + + pv: VAL + io: i + + + + + + fScale + LREAL + 64 + 448 + + + + PouType + FunctionBlock + + + + + ST_DbStateParams + 2496 + + sPmpsState + STRING(80) + PMPS database lookup name for this state + 648 + 0 + + + pytmc + + pv: PMPS_STATE + io: i + field: DESC PMPS Database Lookup Key + + + + + + stBeamParams + ST_BeamParams + Beam parameters associated with this state + 1760 + 672 + + + pytmc + + pv: BP + io: i + + + + + + bBeamParamsLoaded + BOOL + Set to TRUE once the PMPS library has loaded a valid state from the database + 8 + 2432 + + + pytmc + + pv: PMPS_LOADED + io: i + field: DESC TRUE if PMPS loaded parameters from the database. + + + + + + nRequestAssertionID + UDINT + Transition ID associated with this state + 32 + 2464 + + + pytmc + + pv: PMPS_ID + io: i + field: DESC Assertion Request ID + + + + + + + ST_PositionState + 3648 + + sName + STRING(80) + Name as queried via the NAME PV in EPICS + 648 + 0 + + Invalid + + + + pytmc + + pv: NAME + io: input + field: DESC Name of this position state + + + + + + fPosition + LREAL + Position associated with this state + 64 + 704 + + + pytmc + + pv: SETPOINT + io: io + field: DESC Axis position associated with this state + + + + + + nEncoderCount + UDINT + 32 + 768 + + + pytmc + + pv: ENCODER + io: i + field: DESC Encoder count associated with this state + + + + + + fDelta + LREAL + Maximum allowable deviation from fPosition while at the state + 64 + 832 + + + fVelocity + LREAL + Speed at which to move to this state + 64 + 896 + + + pytmc + + pv: VELO + io: io + field: DESC Speed at which to move to this state + + + + + + fAccel + LREAL + (optional) Acceleration to use for moves to this state + 64 + 960 + + + fDecel + LREAL + (optional) Deceleration to use for moves to this state + 64 + 1024 + + + bMoveOk + BOOL + Safety parameter. This must be set to TRUE by the PLC program to allow moves to this state. This is expected to change as conditions change. + 8 + 1088 + + + pytmc + + pv: MOVE_OK + io: i + field: ZNAM FALSE + field: ONAM TRUE + field: DESC TRUE if the move would be safe + + + + + + bLocked + BOOL + Signifies to FB_PositionStateLock that this state should be immutable + 8 + 1096 + + + bValid + BOOL + Set this to TRUE when you make your state. This defaults to FALSE so that uninitialized states can never be moved to + 8 + 1104 + + + bUseRawCounts + BOOL + Set this to TRUE when you want to use the raw encoder counts to define the state + 8 + 1112 + + + bUpdated + BOOL + Is set to TRUE by FB_PositionStateInternal when called + 8 + 1120 + + + stPMPS + ST_DbStateParams + We give this a state name and it is used to load parameters from the pmps database. + 2496 + 1152 + + + + I_HigherAuthority + 64 + PVOID + + CheckRequest + Verify with this higher authority that the request is being included + BOOL + 8 + + nReqID + DWORD + 32 + + + + RemoveRequest + Remove the request from this higher authority + BOOL + 8 + + nReqID + StateID to remove + DWORD + 32 + + + + RequestBP + Request a BP from this higher authority + BOOL + 8 + + nReqID + StateID of state requesting beam parameter set + DWORD + 32 + + + stReqBP + Requested beam params + ST_BeamParams + 1760 + + + + + I_LowerAuthority + 64 + PVOID + + __getnLowerAuthorityID + DWORD + 32 + + + property + + + + + ElevateRequest + <Arbiter Internal> + Elevates the arbitrated BP set to something above. + Could be another arbiter, or a BP requester/ IO, + or an FB that locks in a specific portion of the BP set. + BOOL + 8 + + HigherAuthority + I_HigherAuthority + 64 + + + + + T_HashTableEntry + 128 + + key + DWORD + 32 + 0 + + 0 + + + + pytmc + + pv: Key + io: i + + + + + + value + PVOID + 64 + 64 + + 0 + + + + + ST_BP_ArbInternal + 2464 + ST_BeamParams + + nId + DWORD + 32 + 1760 + + + pytmc + pv: ID + io: i + + + + + + LiveInTable + BOOL + 8 + 1792 + + + pytmc + pv: Live + io: i + + + + + + sDevName + STRING(80) + 648 + 1800 + + + pytmc + pv: Device + io: i + + + + + + + T_HashTableEntry + Hash table entry + 384 + + key + DWORD + Entry key: 32 bit unsigned integer or pointer + 32 + 0 + + 0 + + + + value + PVOID + Entry value: 32/64 bit unsigned integer or pointer + 64 + 64 + + 0 + + + + lock + DWORD + Node state flags: Bit 0 <0..1>: 0 = node free, 1 = node in use, other bits reserved + 32 + 128 + + 0 + + + + conditionalshow + + + + + pNext + PVOID + Pointer to next hash table element + 64 + 192 + + 0 + + + + conditionalshow + + + + + pNextFree + PVOID + Pointer to next free element + 64 + 256 + + 0 + + + + conditionalshow + + + + + pNextGlob + PVOID + Pointer to next global element + 64 + 320 + + 0 + + + + conditionalshow + + + + + + T_HHASHTABLE + Hash table object handle + 6848 + + nCount + UDINT + Number of used hash table entries + 32 + 0 + + 0 + + + + nFree + UDINT + Number of free hash table entries + 32 + 32 + + 0 + + + + pEntries + T_HashTableEntry + Pointer to table array + 64 + 64 + + 0 + + + + conditionalshow + + + + + cbEntries + UDINT + Byte size of table array + 32 + 128 + + 0 + + + + conditionalshow + + + + + nElements + UDINT + Number of table/array elements + 32 + 160 + + 0 + + + + conditionalshow + + + + + cbElement + UDINT + Byte size of one array element + 32 + 192 + + 0 + + + + conditionalshow + + + + + pEntrys + T_HashTableEntry + + 0 + 101 + + 6464 + 256 + + + conditionalshow + + + + + pFreeEntrys + T_HashTableEntry + 64 + 6720 + + 0 + + + + conditionalshow + + + + + pFirstEntry + T_HashTableEntry + 64 + 6784 + + 0 + + + + conditionalshow + + + + + + FB_HashTableCtrl + Hash table control function block + 704 + + hTable + T_HHASHTABLE + Hash table handle variable + 64 + 64 + + + ItemType + InOut + + + + + key + DWORD + Entry key: 32 bit unsigned integer or pointer, used by A_Lookup, A_Remove method, the key.lookup variable is also used by A_Add method + 32 + 128 + + 0 + + + + ItemType + Input + + + + + putValue + PVOID + Entry value: 32/64 bit unsigned integer or pointer + 64 + 192 + + 0 + + + + ItemType + Input + + + + + putPosPtr + T_HashTableEntry + Hash table entry position pointer, used by A_GetNext + 64 + 256 + + 0 + + + + ItemType + Input + + + + + bOk + BOOL + TRUE = success, FALSE = error + 8 + 320 + + false + + + + ItemType + Output + + + + + getValue + PVOID + Entry value: 32/64 bit unsigned integer or pointer + 64 + 384 + + 0 + + + + ItemType + Output + + + + + getPosPtr + T_HashTableEntry + returned by A_GetFirstEntry, A_GetNextEntry, A_Add, A_Lookup and A_Remove method + 64 + 448 + + 0 + + + + ItemType + Output + + + + + p + T_HashTableEntry + 64 + 512 + + 0 + + + + conditionalshow + + + + + n + T_HashTableEntry + 64 + 576 + + 0 + + + + conditionalshow + + + + + nHash + DWORD + 32 + 640 + + 0 + + + + conditionalshow + + + + + A_Reset + + + A_RemoveAll + + + A_GetNext + + + A_GetIndexAtPosPtr + + + A_Add + + + A_Remove + + + A_GetFirst + + + A_RemoveFirst + + + A_Lookup + + + + PouType + FunctionBlock + + + conditionalshow_all_locals + + + + + FB_BeamParamAssertionPool + This function block implements simple database. Data element values are stored in the hash table. + 222144 + + key + DWORD + Entry key: used by A_Lookup, A_Remove method, the key variable is also used by A_Add method + 32 + 64 + + 0 + + + + ItemType + Input + + + + + putPosPtr + T_HashTableEntry + Hash table entry position pointer (used by A_Find, A_GetNext, A_GetPrev) + 64 + 128 + + 0 + + + + ItemType + Input + + + + + putValue + ST_BP_ArbInternal + Hash table entry value (used by A_AddHead, A_AddTail, A_Find ) + 2464 + 192 + + + ItemType + Input + + + + + bOk + BOOL + TRUE = Success, FALSE = Failed + 8 + 2656 + + false + + + + ItemType + Output + + + + + getPosPtr + T_HashTableEntry + Returned hash table entry position pointer + 64 + 2688 + + 0 + + + + ItemType + Output + + + + + getValue + ST_BP_ArbInternal + Returned hash table entry value + 2464 + 2752 + + + ItemType + Output + + + + + nCount + UDINT + Hash table size (number of used entries, used by A_Count) + 32 + 5216 + + 0 + + + + ItemType + Output + + + + + epicsDataPool + ST_BP_ArbInternal + + 1 + 20 + + Structured data element pool for display in EPICS + 49280 + 5248 + + + pytmc + + pv: Entry + io: i + + + + + + dataPool + ST_BP_ArbInternal + + 0 + 61 + + Structured data element pool + 150304 + 54528 + + + entries + T_HashTableEntry + + 0 + 61 + + Max. number of hash table entries. The value of table entry = 32 bit integer (pointer to dataPool-array-entry) + 7808 + 204864 + + + fbTable + FB_HashTableCtrl + basic hash table control function block + 704 + 212672 + + + hTable + T_HHASHTABLE + hash table handle + 6848 + 213376 + + + pRefPtr + ST_BP_ArbInternal + 64 + 220224 + + 0 + + + + indexOfElem + ULINT + Integer value (max. size: x86=>32bit, x64=>64bit) + 64 + 220288 + + + cstSafeBeam + ST_BeamParams + MG + 1760 + 220352 + + + .nTran + 0 + + + .neVRange + 0 + + + .nRate + 0 + + + .nBCRange + 0 + + + + + A_Reset + + + A_Count + + + DataPoolToEpics + + + A_Add + + + A_Remove + + + A_GetFirst + + + A_GetNext + + + A_Lookup + + + + PouType + FunctionBlock + + + no_check + + + + + FB_Arbiter + FB Arbiter +A. Wallace 2020-6-26 + +The arbiter primary objectives are: +- Provide a simple interface for devices to request beam parameter sets +- Provide a way for devices to verify their BPS is active in the arbiter +- Provide a way for devices remove their requests from evaluation +- Evaluate all active beam parameter requests registered with the aribiter, +to determine the safest combination of all sets, provide this set as an output. +- Do all of this with minimal overhead + +To these ends, the arbiter uses a hash-table, the rows being a state-id as the key, and a corresponding + beam parameter set to be evaluated against all the other sets (or rows), in the table. + +The hash table can be thought of as an array on steriods, they are worth reading about, suffice to say +the hash table will tell you when you reach the end of all the entries, and enables us to find entries quickly. + +These features efficiently address the addition, removal, and verification of beam parameter sets listed in the above requirements. + + 495744 + I_HigherAuthority + I_LowerAuthority + + nRequestsCount + UDINT + How many requests are currently in the arbiter + 32 + 192 + + + fbBPAssertionPool + FB_BeamParamAssertionPool + Table of active beam parameter assertions + 222144 + 256 + + + pytmc + + pv: AP + io: i + field: DESC Assertion Pool + + + + + + xRequestMade + BOOL + Arbiter has confirmed its request has made it into the beam parameter request + 8 + 222400 + + + nArbiterID + UDINT + Arbiter ID, used for making higher-level BP requests + 32 + 222432 + + + pytmc + + pv: ArbiterID + io: i + field: DESC Arbiter ID for elev. req. + + + + + + nNextCohort + UDINT + The cohort ID any new requests will adopt, will become ReqInProgCohort at the start of the next acknowledgement cycle + 32 + 222464 + + 1 + + + + nAckInProgCohort + UDINT + The cohort ID currently being acknowledged, will become nActiveCohort after acknowledgement from HA + 32 + 222496 + + 0 + + + + nActiveCohort + UDINT + Requests with cohorts <= to this value will be considered active in CheckRequest + 32 + 222528 + + 0 + + + + pytmc + + pv: CohortCounter + io: i + field: DESC Intrnl cohort counter + + + + + + bStartNewAckRequest + BOOL + Set by an add or remove method call, triggers an ack cycle + 8 + 222560 + + + bAckInProgress + BOOL + Set by ElevateReq when there is a new ack request and reset when the ack cycle is complete + 8 + 222568 + + + idTransmission + DWORD + ID of BP limiting transmission + 32 + 222592 + + + idRate + DWORD + ID of BP limiting rate + 32 + 222624 + + + sPath + T_MaxString + 2048 + 222656 + + + instance-path + + + noinit + + + + + sArbName + T_MaxString + 2048 + 224704 + + + InfoStringFmtr + FB_FormatString + 8576 + 226752 + + + bVerbose + BOOL + 8 + 235328 + + false + + + + q_stBeamParams + ST_BeamParams + Updated on each cycle of the arbiter FB with the current arbitrated beam parameter set + 1760 + 235360 + + + ItemType + Output + + + pytmc + + pv: ArbitratedBP + io: i + field: DESC Arbitrated BP + + + + + + q_xStateIDFound + BOOL + Set true if a state-id is found in the assertion pool after calling A_VerifyAssertion + 8 + 237120 + + + ItemType + Output + + + + + __FB_ARBITER__GETARBITRATEDBP__XFIRSTPASS + BOOL + 8 + 237128 + + : u + + + + __FB_ARBITER__GETARBITRATEDBP__FBGETCURTASKIDX + GETCURTASKINDEX + 256 + 237184 + + + __FB_ARBITER__GETARBITRATEDBP__LASTCYCLECOUNT + UDINT + 32 + 237440 + + + __FB_ARBITER__GETARBITRATEDBP__FBLOGMESSAGE + FB_LogMessage + 86080 + 237504 + + + __FB_ARBITER__ADDREQUEST__FBLOG + FB_LogMessage + 86080 + 323584 + + + __FB_ARBITER__REMOVEREQUEST__FBLOG + FB_LogMessage + 86080 + 409664 + + + __getnEntryCount + How many entries are in the arbiter now + UDINT + 32 + + nEntryCount + UDINT + 32 + + + + property + + + + + CheckRequest + Checks request ID is included in arbitration all the way to the accelerator interface +Use like so: +IF fbArbiter.CheckRequest(nStateIDAssertionToCheck) AND (other logic) THEN: + Request is found and active in arbitration,. Do something. +ELSE: + Request was not found, or is not yet included in arbitration. Don't do something/ wait. + + + BOOL + 8 + + nReqID + DWORD + 32 + + + BP + ST_BeamParams + 1760 + + + + ElevateRequest + <Arbiter Internal> + Elevates the arbitrated BP set to something above. + Could be another arbiter, or a BP requester/ IO, + or an FB that locks in a specific portion of the BP set. + BOOL + 8 + + HigherAuthority + I_HigherAuthority + 64 + + + + GetArbitratedBP + Executes Arbitration between all requested beam parameter sets + ST_BeamParams + 1760 + + getPosPtr + T_HashTableEntry + 64 + + + getBPStructInt + ST_BP_ArbInternal + 2464 + + + stOutputBP + Holding struct for arbitration process + ST_BP_ArbInternal + 2464 + + + xFirstPass + BOOL + 8 + + + uselocation + __FB_ARBITER__GETARBITRATEDBP__XFIRSTPASS + + + + + fbGetCurTaskIdx + GETCURTASKINDEX + 256 + + + uselocation + __FB_ARBITER__GETARBITRATEDBP__FBGETCURTASKIDX + + + + + LastCycleCount + UDINT + 32 + + + uselocation + __FB_ARBITER__GETARBITRATEDBP__LASTCYCLECOUNT + + + + + fbLogMessage + FB_LogMessage + 86080 + + + uselocation + __FB_ARBITER__GETARBITRATEDBP__FBLOGMESSAGE + + + + + + ArbitrateBP + Kernel of the arbiter + Logic for determining which beam parameter is the most conservative across all request sets. + ST_BP_ArbInternal + 2464 + + stBP1 + ST_BP_ArbInternal + 2464 + + + stBP2 + ST_BP_ArbInternal + 2464 + + + idx + UINT + 16 + + + bcBitmask + WORD + 16 + + + + no_check + + + + + __getnLowerAuthorityID + DWORD + 32 + + nLowerAuthorityID + DWORD + 32 + + + + property + + + + + AddRequest + Adds a request to the arbiter pool. + Returns true if the request was successfully added, false if not enough space or a request with the same ID is already present. + BOOL + 8 + + nReqID + Unique ID within aribter for the request. Make sure this is unique for every device + state combination + DWORD + 32 + + + stReqBP + Requested beam params + ST_BeamParams + 1760 + + + sDevName + Name of the device making the request + STRING(80) + 648 + + + BP_Int + ST_BP_ArbInternal + 2464 + + + fbLog + FB_LogMessage + 86080 + + + uselocation + __FB_ARBITER__ADDREQUEST__FBLOG + + + + + + RemoveRequest + Removes request from abritration. + BOOL + 8 + + nReqId + DWORD + 32 + + + fbLog + FB_LogMessage + 86080 + + + uselocation + __FB_ARBITER__REMOVEREQUEST__FBLOG + + + + + BP_Int + ST_BP_ArbInternal + 2464 + + + + CheckRequestInPool + Verify request is at least in the local arbiter + Does not verify request has been included in arbitration. + Use CheckRequest instead. + BOOL + 8 + + nReqID + DWORD + 32 + + + + RequestBP + BOOL + 8 + + nReqID + StateID of state requesting beam parameter set + DWORD + 32 + + + stReqBP + Requested beam params + ST_BeamParams + 1760 + + + + + PouType + FunctionBlock + + + reflection + + + + + ST_StateEpicsToPlc + 32 + + nSetValue + UINT + For internal use only. This holds new goal positions as an integer, else it is 0 if there is no new state move request. It is written to from the user's input enum. + 16 + 0 + + + bReset + BOOL + Set this to TRUE to acknowledge and clear an error. + 8 + 16 + + + pytmc + + pv: RESET + io: io + field: ZNAM False + field: ONAM True + + + + + + + ST_StatePMPSEpicsToPlc + 16 + + bArbiterEnabled + BOOL + User setting: TRUE to enable the arbiter, FALSE to disable it. + 8 + 0 + + true + + + + pytmc + + pv: PMPS:ARB:ENABLE + io: io + + + + + + bMaintMode + BOOL + User setting: TRUE to enable maintenance mode (Fast fault, free motion), FALSE to disable it. + 8 + 8 + + + pytmc + + pv: PMPS:MAINT + io: io + + + + + + + ST_StatePlcToEpics + 768 + + nGetValue + UINT + For internal use only. This holds the current position index as an integer, else it is 0 if we are changing states or not at any particular state. + 16 + 0 + + + bBusy + BOOL + This will be TRUE when we are in an active state move and FALSE otherwise. + 8 + 16 + + + pytmc + + pv: BUSY + io: i + field: ZNAM False + field: ONAM True + + + + + + bDone + BOOL + This will be TRUE after a move completes and FALSE otherwise. + 8 + 24 + + + pytmc + + pv: DONE + io: i + field: ZNAM False + field: ONAM True + + + + + + bError + BOOL + This will be TRUE if the most recent move had an error and FALSE otherwise. + 8 + 32 + + + pytmc + + pv: ERR + io: i + field: ZNAM False + field: ONAM True + + + + + + nErrorID + UDINT + This will be set to an NC error code during an error if one exists or left at 0 otherwise. + 32 + 64 + + + pytmc + + pv: ERRID + io: i + + + + + + sErrorMsg + STRING(80) + This will be set to an appropriate error message during an error if one exists or left as an empty string otherwise. + 648 + 96 + + + pytmc + + pv: ERRMSG + io: i + + + + + + + ST_StatePMPSPlcToEpics + 2496 + + stTransitionDb + ST_DbStateParams + The database entry for the transition state. This should always be present. + 2496 + 0 + + + pytmc + + pv: PMPS:TRANS + io: i + + + + + + + FB_StatesInputHandler + 384 + + stUserInput + ST_StateEpicsToPlc + The user's inputs from EPICS. This is an IN_OUT variable because we will write values back to this to help us detect when the same value is re-caput + 64 + 64 + + + ItemType + InOut + + + + + bMoveBusy + BOOL + The bBusy boolean from the motion FB + 8 + 128 + + + ItemType + Input + + + + + nStartingState + UINT + The starting state number to seed nCurrGoal with + 16 + 144 + + + ItemType + Input + + + + + bMoveError + BOOL + TRUE if we have a move error, to prevent moves + 8 + 160 + + + ItemType + Input + + + + + nCurrGoal + UINT + The goal index to input to the motion FB. This will be clamped to the range 0..GeneralConstants.MAX_STATES + 16 + 176 + + + ItemType + Output + + + + + bExecMove + BOOL + The bExecute boolean to input to the motion FB + 8 + 192 + + + ItemType + Output + + + + + bResetMove + BOOL + The bReset boolean to input to the motion FB + 8 + 200 + + + ItemType + Output + + + + + nState + UINT + 16 + 208 + + + bInit + BOOL + 8 + 224 + + + nQueuedGoal + UINT + 16 + 240 + + + bNewMove + BOOL + 8 + 256 + + + nCachedStart + UINT + 16 + 272 + + + IDLE + UINT + 16 + 288 + + 0 + + + + GOING + UINT + 16 + 304 + + 1 + + + + WAIT_STOP + UINT + 16 + 320 + + 2 + + + + + PouType + FunctionBlock + + + + + FB_RawCountConverter + 8576 + + stParameters + ST_AxisParameterSet + Parameters to check against + 8192 + 64 + + + ItemType + Input + + + + + nCountCheck + UDINT + Optional count to convert to a real position + 32 + 8256 + + + ItemType + Input + + + + + fPosCheck + LREAL + Optional position to convert to encoder counts + 64 + 8320 + + + ItemType + Input + + + + + nCountGet + UDINT + If converting position, the number of counts + 32 + 8384 + + + ItemType + Output + + + + + fPosGet + LREAL + If converting counts, the position + 64 + 8448 + + + ItemType + Output + + + + + bBusy + BOOL + True during a parameter get/calc + 8 + 8512 + + + ItemType + Output + + + + + bDone + BOOL + True after a successful get/calc + 8 + 8520 + + + ItemType + Output + + + + + bError + BOOL + True if the calculation errored + 8 + 8528 + + + ItemType + Output + + + + + + PouType + FunctionBlock + + + + + FB_PositionStateLock + 3904 + + stPositionState + ST_PositionState + 64 + 64 + + + ItemType + InOut + + + + + bEnable + BOOL + 8 + 128 + + + ItemType + Input + + + + + stCachedPositionState + ST_PositionState + 3648 + 192 + + + bInit + BOOL + 8 + 3840 + + false + + + + + PouType + FunctionBlock + + + + + FB_PositionStateInternal + 12672 + + stMotionStage + ST_MotionStage + 64 + 64 + + + ItemType + InOut + + + + + stPositionState + ST_PositionState + 64 + 128 + + + ItemType + InOut + + + + + fbEncConverter + FB_RawCountConverter + 8576 + 192 + + + fbLock + FB_PositionStateLock + 3904 + 8768 + + + + PouType + FunctionBlock + + + + + FB_PositionStateInternalND + 570496 + + astMotionStage + ST_MotionStage + + 1 + 3 + + All the motors to apply the standard routines to + 64 + 64 + + + ItemType + InOut + + + + + astPositionState + ST_PositionState + + 1 + 3 + + + 1 + 15 + + Each motor's respective position states along its direction + 64 + 128 + + + ItemType + InOut + + + + + afbStateInternal + FB_PositionStateInternal + + 1 + 3 + + + 1 + 15 + + The individual instantiated internal FBs. Must have the same bounds as astPositionState. + 570240 + 192 + + + nIterMotors + DINT + 32 + 570432 + + + nIterStates + DINT + 32 + 570464 + + + + PouType + FunctionBlock + + + + + FB_PositionStateMove + 3200 + + stMotionStage + ST_MotionStage + Motor to move + 64 + 64 + + + ItemType + InOut + + + + + stPositionState + ST_PositionState + State to move to + 64 + 128 + + + ItemType + InOut + + + pytmc + + pv: + + + + + + bExecute + BOOL + Start move on rising edge, stop move on falling edge + 8 + 192 + + + ItemType + Input + + + pytmc + + pv: GO + io: io + field: ZNAM False + field: ONAM True + + + + + + bReset + BOOL + Rising edge error reset + 8 + 200 + + + ItemType + Input + + + pytmc + + pv: RESET + io: io + field: ZNAM False + field: ONAM True + + + + + + enumMotionRequest + E_MotionRequest + Define behavior for when a move is already active + 16 + 208 + + E_MotionRequest.WAIT + + + + ItemType + Input + + + + + bAtState + BOOL + TRUE if the motor is at this state + 8 + 224 + + + ItemType + Output + + + pytmc + + pv: AT_STATE + io: input + field: ZNAM False + field: ONAM True + + + + + + bError + BOOL + TRUE if we have an error + 8 + 232 + + + ItemType + Output + + + pytmc + + pv: ERR + io: input + field: ZNAM False + field: ONAM True + + + + + + nErrorID + UDINT + Error code + 32 + 256 + + + ItemType + Output + + + pytmc + + pv: ERRID + io: input + + + + + + sErrorMessage + STRING(80) + Error description + 648 + 288 + + + ItemType + Output + + + pytmc + + pv: ERRMSG + io: input + + + + + + bBusy + BOOL + TRUE if we are moving to a state + 8 + 936 + + + ItemType + Output + + + pytmc + + pv: BUSY + io: input + field: ZNAM False + field: ONAM True + + + + + + bDone + BOOL + TRUE if we are not moving and we reached a state successfully on our last move + 8 + 944 + + + ItemType + Output + + + pytmc + + pv: DONE + io: input + field: ZNAM False + field: ONAM True + + + + + + fbMotionRequest + FB_MotionRequest + 1920 + 960 + + + rtExec + R_TRIG + 128 + 2880 + + + rtReset + R_TRIG + 128 + 3008 + + + bInnerExec + BOOL + 8 + 3136 + + + bAllowMove + BOOL + 8 + 3144 + + + nLatchAllowErrorID + UDINT + 32 + 3168 + + + + PouType + FunctionBlock + + + + + FB_PositionStateMoveND + 10752 + + astMotionStage + ST_MotionStage + + 1 + 3 + + Array of motors to move together + 64 + 64 + + + ItemType + InOut + + + + + astPositionState + ST_PositionState + + 1 + 3 + + 1D Position states: the current position to send each axis to + 64 + 128 + + + ItemType + InOut + + + + + nActiveMotorCount + UINT + The number of motors we're actually using + 16 + 192 + + + ItemType + Input + + + + + bExecute + BOOL + Start all moves on rising edge, stop all moves on falling edge + 8 + 208 + + + ItemType + Input + + + + + bReset + BOOL + Reset any errors + 8 + 216 + + + ItemType + Input + + + + + enumMotionRequest + E_MotionRequest + Define behavior for when a move request is interrupted with a new request + 16 + 224 + + E_MotionRequest.WAIT + + + + ItemType + Input + + + + + bAtState + BOOL + TRUE if ALL of the motors are at their goal states + 8 + 240 + + + ItemType + Output + + + + + bBusy + BOOL + TRUE if ANY of this FB's moves are in progress + 8 + 248 + + + ItemType + Output + + + + + bDone + BOOL + TRUE if ALL motors have completed the last move request from this FB + 8 + 256 + + + ItemType + Output + + + + + bError + BOOL + TRUE if ANY of this FB's moves had an error + 8 + 264 + + + ItemType + Output + + + + + nErrorCount + UINT + How many FBs are erroring + 16 + 272 + + + ItemType + Output + + + + + nShownError + DINT + Which component is the source of the example/summarized error + 32 + 288 + + + ItemType + Output + + + + + nErrorID + UDINT + One of the error ids + 32 + 320 + + + ItemType + Output + + + + + sErrorMessage + STRING(80) + The error error message matching the ID + 648 + 352 + + + ItemType + Output + + + + + afbPositionStateMove + FB_PositionStateMove + + 1 + 3 + + 1D State movers: FBs to move the motors + 9600 + 1024 + + + nIndex + DINT + 32 + 10624 + + + bMotorCountError + BOOL + 8 + 10656 + + + nLowerBound + DINT + 32 + 10688 + + + nUpperBound + DINT + 32 + 10720 + + + DoStateMoves + + + CheckCount + + + CombineOutputs + + + + PouType + FunctionBlock + + + + + FB_PositionStateRead + 4096 + + stMotionStage + ST_MotionStage + The motor to check the state of + 64 + 64 + + + ItemType + InOut + + + + + astPositionState + ST_PositionState + + 1 + 15 + + The allowed states for this motor + 64 + 128 + + + ItemType + InOut + + + + + bKnownState + BOOL + TRUE if we're standing still at a known state, or moving within the bounds of a state to another location in the bounds. + 8 + 192 + + + ItemType + Output + + + + + bMovingState + BOOL + TRUE if we're moving to some other state or to another non-state position. + 8 + 200 + + + ItemType + Output + + + + + nPositionIndex + UINT + If we're at a known state, this will be the index in the astPositionState array that matches the state. Otherwise, this will be 0, which is below the bounds of the array, so it cannot be confused with a valid output. + 16 + 208 + + + ItemType + Output + + + + + stCurrentPosition + ST_PositionState + A copy of the details of the current position state, for convenience. This may be a moving state or an unknown state as a placeholder if we are not at a known state. + 3648 + 256 + + + ItemType + Output + + + + + abAtPosition + BOOL + + 1 + 15 + + A full description of whether we're at each of our states. This is used in 2D, 3D, etc. to clarify cases where states may overlap in 1D. + 120 + 3904 + + + ItemType + Output + + + + + nIter + UINT + 16 + 4032 + + + + PouType + FunctionBlock + + + + + FB_PositionStateReadND + 12736 + + astMotionStage + ST_MotionStage + + 1 + 3 + + The motors with a combined N-dimensional state + 64 + 64 + + + ItemType + InOut + + + + + astPositionState + ST_PositionState + + 1 + 3 + + + 1 + 15 + + Each motor's respective position states along its direction + 64 + 128 + + + ItemType + InOut + + + + + nActiveMotorCount + UINT + The number of motors we're actually using + 16 + 192 + + + ItemType + Input + + + + + bKnownState + BOOL + TRUE if we're standing still at a known state. + 8 + 208 + + + ItemType + Output + + + + + bMovingState + BOOL + TRUE if we're moving, there can be no valid state if we are moving. + 8 + 216 + + + ItemType + Output + + + + + nPositionIndex + UINT + If we're at a known state, this will be the state index along the enclosed states arrays. Otherwise, it will be zero, which is below the bounds of the states array. + 16 + 224 + + + ItemType + Output + + + + + bMotorCountError + BOOL + TRUE if the active motor count was invalid + 8 + 240 + + + ItemType + Output + + + + + abAtPosition + BOOL + + 1 + 15 + + A full description of whether we're at each of our states. This is used to clarify cases where states may overlap. + 120 + 248 + + + ItemType + Output + + + + + afbPositionStateRead + FB_PositionStateRead + + 1 + 3 + + The individual position state reader function blocks + 12288 + 384 + + + nIter + UINT + 16 + 12672 + + + nIter2 + UINT + 16 + 12688 + + + CheckCount + + + DoStateReads + + + CombineOutputs + + + + PouType + FunctionBlock + + + + + FB_PositionStateND_Core + 609536 + + astMotionStageMax + ST_MotionStage + + 1 + 3 + + All motors to be used in the states move, including blank/uninitialized structs. + 64 + 64 + + + ItemType + InOut + + + + + astPositionStateMax + ST_PositionState + + 1 + 3 + + + 1 + 15 + + All position states for all motors, including unused/invalid states. + 64 + 128 + + + ItemType + InOut + + + + + stEpicsToPlc + ST_StateEpicsToPlc + Normal EPICS inputs, gathered into a single struct + 64 + 192 + + + ItemType + InOut + + + + + stPlcToEpics + ST_StatePlcToEpics + Normal EPICS outputs, gathered into a single struct + 64 + 256 + + + ItemType + InOut + + + + + eEnumSet + UINT + Set this to a nonzero value to request a new move. It will be reset to zero every cycle. This should be hooked up to a user's EPICS enum input. + 64 + 320 + + + ItemType + InOut + + + + + eEnumGet + UINT + The current state index, or zero if we are not at a state. This should be hooked up to a user's EPICS enum output. + 64 + 384 + + + ItemType + InOut + + + + + bEnable + BOOL + Set this to TRUE to enable input state moves, or FALSE to disable them. + 8 + 448 + + + ItemType + Input + + + + + nActiveMotorCount + UINT + Set this to the number of motors to be included in astMotionStageMax + 16 + 464 + + + ItemType + Input + + + + + nCurrGoal + UINT + The current position index goal, where the motors are supposed to be moving towards. + 16 + 480 + + + ItemType + Output + + + + + fbInput + FB_StatesInputHandler + 384 + 512 + + + fbInternal + FB_PositionStateInternalND + 570496 + 896 + + + fbMove + FB_PositionStateMoveND + 10752 + 571392 + + + fbRead + FB_PositionStateReadND + 12736 + 582144 + + + astMoveGoals + ST_PositionState + + 1 + 3 + + 10944 + 594880 + + + stInvalidPos + ST_PositionState + 3648 + 605824 + + + nIterMotor + DINT + 32 + 609472 + + + + PouType + FunctionBlock + + + + + FB_JsonDocToSafeBP + 115008 + + bExecute + BOOL + Rising Edge + 8 + 64 + + + ItemType + Input + + + + + jsonDoc + SJsonValue + 64 + 128 + + + ItemType + Input + + + + + sDeviceName + STRING(80) + 648 + 192 + + + ItemType + Input + + + + + bHasDevice + BOOL + 8 + 840 + + + ItemType + Output + + + + + bHasAllStates + BOOL + 8 + 848 + + + ItemType + Output + + + + + bHasAllParameters + BOOL + 8 + 856 + + true + + + + ItemType + Output + + + + + bBusy + BOOL + 8 + 864 + + + ItemType + Output + + + + + bError + BOOL + 8 + 872 + + + ItemType + Output + + + + + nErrId + UDINT + 32 + 896 + + + ItemType + Output + + + + + sErrMsg + STRING(80) + 648 + 928 + + + ItemType + Output + + + + + arrStates + ST_DbStateParams + ARRAY [1.. MOTION_GVL.MAX_STATES] ; + 64 + 1600 + + + ItemType + Input + + + variable_length_array + + + Dimensions + 1 + + + + + io_fbFFHWO + FB_HardwareFFOutput + 64 + 1664 + + + ItemType + InOut + + + + + fbJson + FB_JsonDomParser + JSON + 448 + 1728 + + + jsonProp + SJsonValue + 64 + 2176 + + + jsonValue + SJsonValue + 64 + 2240 + + + jsonParam + SJsonValue + 64 + 2304 + + + jsonnTran + SJsonValue + 64 + 2368 + + + jsonnRate + SJsonValue + 64 + 2432 + + + Step + INT + 16 + 2496 + + + index + DINT + 32 + 2528 + + + nStateCount + DINT + 32 + 2560 + + + RisingEdge + R_TRIG + 128 + 2624 + + + tNewMessage + R_TRIG + Logger + 128 + 2752 + + + fbLogger + FB_LogMessage + 86080 + 2880 + + + .eSubsystem + E_Subsystem.MPS + + + .nMinTimeViolationAcceptable + 10 + + + + + FFO + FB_FastFault + FFO + 25920 + 88960 + + + .i_Desc + Fault occurs when there is an error loading safe beam parameters from json file + + + .i_TypeCode + 65299 + + + + + sbuffReadSmall + INT + 16 + 114880 + + + ACT_FFO + + + ACT_Logger + + + M_LoadSafeBP + BOOL + 8 + + sStateName + STRING(80) + 648 + + + Index + DINT + 32 + + + sAperture + bHasAllParameters : BOOL := TRUE; + STRING(80) + 648 + + + nAperture + INT + 16 + + + sEV + STRING(80) + 648 + + + sBC + STRING(80) + 648 + + + nIndex + INT + 16 + + + + + PouType + FunctionBlock + + + + + FB_MotionReadPMPSDBND + 205632 + + astPositionState + ST_PositionState + + 1 + 3 + + + 1 + 15 + + Each motor's respective position states along its direction. These will not be modified. + 64 + 64 + + + ItemType + InOut + + + + + fbFFHWO + FB_HardwareFFOutput + Hardware output to fault to if there is a problem. + 64 + 128 + + + ItemType + InOut + + + + + sTransitionKey + STRING(80) + The database lookup key for the transition state. This has no corresponding ST_PositionState. + 648 + 192 + + + ItemType + Input + + + + + sDeviceName + STRING(80) + A name to use for fast faults, etc. + 648 + 840 + + + ItemType + Input + + + + + bReadNow + BOOL + For debug: set this to TRUE in online mode to read the database immediately. + 8 + 1488 + + + ItemType + Input + + + + + astDbStateParams + ST_DbStateParams + + 0 + 16 + + The raw lookup results from this FB. Index 0 is the transition beam, the rest of the indices match the state positions. + 39936 + 1504 + + + ItemType + Output + + + + + bFirstReadDone + BOOL + TRUE if we've had at least one successful read. + 8 + 41440 + + + ItemType + Output + + + + + bError + BOOL + This will be set to TRUE if there was an error reading from the database. + 8 + 41448 + + + ItemType + Output + + + + + ffError + FB_FastFault + 25920 + 41472 + + + fbReadPmpsDb + FB_JsonDocToSafeBP + 115008 + 67392 + + + ftDbBusy + F_TRIG + 128 + 182400 + + + ftRead + F_TRIG + 128 + 182528 + + + bReadPmpsDb + BOOL + 8 + 182656 + + + nIterMotor + DINT + 32 + 182688 + + + nIterState + DINT + 32 + 182720 + + + nIterState2 + DINT + 32 + 182752 + + + sLoopNewKey + STRING(80) + 648 + 182784 + + + sLoopPrevKey + STRING(80) + 648 + 183432 + + + abStateError + BOOL + + 0 + 16 + + 128 + 184080 + + + asLookupKeys + STRING(80) + + 0 + 16 + + 10368 + 184208 + + + asPrevLookupKeys + STRING(80) + + 0 + 16 + + 10368 + 194576 + + + bNewKeys + BOOL + 8 + 204944 + + + sTempBackfill + STRING(80) + 648 + 204952 + + + SelectLookupKeys + + + BackfillInfo + + + ReadDatabase + + + RunFastFaults + + + + PouType + FunctionBlock + + + + + E_BPTMState + 16 + INT - UPS_Version - 9851755182489603 - version, USINT, read-only + Init + 0 - UPS_Revision - 9851755182489604 - revision, USINT, read-only + NewTarget + 1000 - UPS_Build - 10414705135910917 - build, UINT, read-only + RequestBP + 1500 - UPS_SerialNumber - 12385029972885510 - serial number, STRING, read-only + WaitForBP + 2500 - UPS_PowerStatus - 9851755182489607 - power status (0=Unknown,1=Online,2=OnBatteries), USINT, read-only + WaitingForTransitionAssertion + 2000 - UPS_ComStatus - 9851755182489608 - communication status (0=Unknown,1=Ok,2=Error), USINT, read-only + WaitingForFinalAssertion + 3000 - UPS_BatteryStatus - 9851755182489609 - battery status (0=Unknown,1=Ok,2=ChangeBattery), USINT, read-only + Transitioning + 4000 - UPS_BatteryCapacity - 9851755182489610 - battery capacity (%), USINT, read-only + WaitForFinalBP + 5000 - UPS_BatteryRuntime - 10977655089332235 - battery runtime (s), UDINT, read-only + CleaningUp + 6000 - UPS_PersPowerFailCnt - 9288805229068300 - persistent power fail count, BOOL, read-only + Idle + 10000 - UPS_PowerFailCnt - 10414705135910925 - power fail counter, UINT, read-only + Done + 8000 - UPS_FanError - 9288805229068302 - fan error, BOOL, read-only + Error + 9000 + + + BeamParameterTransitionManager + +Implements the procedure for safely transitioning between device states. + +NOTE: +The BPTM will throw an error if the arbiter does not have enough space for the transition and new final assertion. + + + 61568 + + fbArbiter + FB_Arbiter + Connect to local arbiter + 64 + 64 + + + ItemType + InOut + + + + + i_sDeviceName + STRING(80) + Name of the device requesting the transition + 648 + 128 + + Device + + + + ItemType + Input + + + + + i_TransitionAssertionID + UDINT + Must not be 0 or EXCLUDED_ID + 32 + 800 + + 0 + + + + ItemType + Input + + + + + i_stTransitionAssertion + ST_BeamParams + Assertion required during transition (always safer than anything inbetween) + 1760 + 832 + + + ItemType + Input + + + + + i_nRequestedAssertionID + UDINT + Must not be 0 or EXCLUDED_ID + 32 + 2592 + + 0 + + + + ItemType + Input + + + + + i_stRequestedAssertion + ST_BeamParams + PMPS_GVL.cstSafeBeam; //Requested assertion, change whenever + 1760 + 2624 + + + .nTran + 0 + + + .neVRange + 0 + + + .nRate + 0 + + + .nBCRange + 0 + + + + + ItemType + Input + + + + + i_xMoving + BOOL + Provide rising edge when device begins moving <remove> + 8 + 4384 + + false + + + + ItemType + Input + + + + + i_xDoneMoving + BOOL + Provide rising edge when device is done with a move + 8 + 4392 + + false + + + + ItemType + Input + + + + + stCurrentBeamParameters + ST_BeamParams + Connect to current beam parameters + 1760 + 4416 + + + ItemType + Input + + + + + bRetry + BOOL + Rising edge to cycle back through the BPTM process. Use if something in the process timed out or failed. This will interrupt a current process + 8 + 6176 + + false + + + + ItemType + Input + + + + + q_xTransitionAuthorized + BOOL + Rising edge indicating the device is safe to move, use as input to move execute (which requires a rising edge) + 8 + 6184 + + false + + + + ItemType + Output + + + + + bError + BOOL + Set if some issue occurs within the bptm + 8 + 6192 + + + ItemType + Output + + + + + nErrId + UINT + Set to non-zero to help understand the error. + 16 + 6208 + + + ItemType + Output + + + + + bDone + BOOL + 8 + 6224 + + + ItemType + Output + + + + + bBusy + BOOL + 8 + 6232 + + + ItemType + Output + + + + + nTargetAssertionID + UDINT + 32 + 6240 + + 0 + + + + stTargetAssertion + ST_BeamParams + Target assertion + 1760 + 6272 + + + nCurrentAssertionID + UDINT + ID of last set state (zero until a state is reached) + 32 + 8032 + + 0 + + + + xNewBP + BOOL + 8 + 8064 + + + xTranBP + BOOL + 8 + 8072 + + + xFinalBPInArb + BOOL + 8 + 8080 + + + xFinalBP + BOOL + 8 + 8088 + + + eBPTMState + E_BPTMState + 16 + 8096 + + E_BPTMState.Init + + + + ePrevState + E_BPTMState + 16 + 8112 + + E_BPTMState.Init + + + + xEntry + BOOL + 8 + 8128 + + + rTransition + R_TRIG + 128 + 8192 + + + xNewTarget + BOOL + 8 + 8320 + + + bTransAssertionFailed + BOOL + 8 + 8328 + + + bFinalAssertionFailed + BOOL + 8 + 8336 + + + LogStrBuffer + STRING(80) + + 0 + 41 + + 26568 + 8344 + + + LogBuffIdx + FB_Index + 128 + 34944 + + + .LowerLimit + 0 + + + .UpperLimit + 40 + + + + + nAssrtAttempt + INT + Number of times we have tried asserting a BP set + 16 + 35072 + + + rtRetry + R_TRIG + 128 + 35136 + + + rtError + R_TRIG + 128 + 35264 + + + ffTimeout + FB_FastFault + 25920 + 35392 + + + .i_Desc + Preemptive requests timed out in BPTM + + + .i_TypeCode + 10 + + + .i_xAutoReset + false + + + + + rtDoneMoving + R_TRIG + 128 + 61312 + + + bLatchDoneMoving + BOOL + 8 + 61440 + + + bFirstMove + BOOL + 8 + 61448 + + true + + + + LogBuffSize + INT + 16 + 61456 + + 40 + + + + cMaxAttempts + INT + 16 + 61472 + + 3 + + + + cReqArbCapacity + UDINT + The thought here is, a BPTM needs at most 2 arbiter slots to complete a transition. + If we're at capacity, it means some BPTM before this one has begun a transition, + and will require at least one more arbiter spot to complete. + + 32 + 61504 + + 2 + + + + AuthorizeTransition + + + WaitingForFinalAssertion_DO + + + NewTarget_ENTRY + + + AssertTransitionBP + + + AssertFinalBP + + + WaitingForTransitionAssertion_DO + + + RemoveTransitionAssertion + + + SetNewTarget + + + RequestBP_DO + + + WaitingForTransitionAssertion_EXIT + + + WaitingForFinalAssertion_EXIT + + + DeauthorizeTransition + + + LogActions + BOOL + 8 + + LogStr + STRING(80) + 648 + + + + no_check + + + + + + PouType + FunctionBlock + + + no_check + + + + + FB_MotionBPTM + 115072 + + astMotionStage + ST_MotionStage + + 1 + 3 + + Array of motors that will move for this beam transition + 64 + 64 + + + ItemType + InOut + + + + + fbArbiter + FB_Arbiter + The arbiter to request beam states from + 64 + 128 + + + ItemType + InOut + + + + + fbFFHWO + FB_HardwareFFOutput + The fast fault output to fault to + 64 + 192 + + + ItemType + InOut + + + + + stGoalParams + ST_DbStateParams + The parameters we want to transition to + 64 + 256 + + + ItemType + InOut + + + + + stTransParams + ST_DbStateParams + The parameters we want to use during the transition + 64 + 320 + + + ItemType + InOut + + + + + nActiveMotorCount + UINT + The number of motors we're actually using + 16 + 384 + + + ItemType + Input + + + + + bEnable + BOOL + Set to TRUE to use the BPTM, FALSE to stop using the BPTM. + 8 + 400 + + + ItemType + Input + + + + + bAtState + BOOL + TRUE if we're at the physical state that matches the goal parameters + 8 + 408 + + + ItemType + Input + + + + + sDeviceName + STRING(80) + A device name to use in the GUI + 648 + 416 + + + ItemType + Input + + + + + tArbiterTimeout + TIME + How long to wait for parameters before timing out + 32 + 1088 + + T#1s + + + + ItemType + Input + + + + + bMoveOnArbiterTimeout + BOOL + Whether to fault and move on timeout (TRUE) or to wait (FALSE) + 8 + 1120 + + true + + + + ItemType + Input + + + + + bResetBPTMTimeout + BOOL + Set to TRUE when it is safe to reset the BPTM timeout fast fault, to reset it early. + 8 + 1128 + + + ItemType + Input + + + + + bTransitionAuthorized + BOOL + This becomes TRUE when the motors are allowed to move to their destinations. + 8 + 1136 + + + ItemType + Output + + + + + bDone + BOOL + This becomes TRUE once the full beam transition is done. + 8 + 1144 + + + ItemType + Output + + + + + bMotorCountError + BOOL + TRUE if we're using a bad motor count + 8 + 1152 + + + ItemType + Output + + + + + bptm + BeamParameterTransitionManager + 61568 + 1216 + + + bDoneMoving + BOOL + 8 + 62784 + + + nPrevID + UDINT + 32 + 62816 + + + nIndex + DINT + 32 + 62848 + + + bInternalAuth + BOOL + 8 + 62880 + + + bDoneResetQueued + BOOL + 8 + 62888 + + + tonArbiter + TON + 256 + 62912 + + + bArbiterTimeout + BOOL + 8 + 63168 + + + ffBPTMTimeoutAndMove + FB_FastFault + 25920 + 63232 + + + ffBPTMError + FB_FastFault + 25920 + 89152 + + + HandleTimeout + + + SetDoneMoving + + + CheckCount + + + RunBPTM + + + + PouType + FunctionBlock + + + + + FB_MotionClearAsserts + 448 + + astDbStateParams + ST_DbStateParams + + 0 + 16 + + All states to deactivate: transition + the static position states + 64 + 64 + + + ItemType + InOut + + + + + fbArbiter + FB_Arbiter + The arbiter who made the PMPS assert requests + 64 + 128 + + + ItemType + InOut + + + + + bExecute + BOOL + Clear asserts on rising edge + 8 + 192 + + + ItemType + Input + + + + + rtExec + R_TRIG + 128 + 256 + + + nIter + DINT + 32 + 384 + + + + PouType + FunctionBlock + + + + + E_StatePMPSStatus + 16 + INT - UPS_NoBattery - 9288805229068303 - no battery, BOOL, read-only + UNKNOWN + 0 + No other enum state describes it - UPS_TestCapacity - 18296004483809296 - test capacity, BOOL, write-only + TRANSITION + 1 + Moving toward a known state - UPS_BatteryReplaceDate - 12385029972885521 - battery replace date, STRING, read-only + AT_STATE + 2 + Within a known state, not trying to leave - UPS_IntervalServiceStatus - 9288805229068306 - interval service status, BOOL, read-only + DISABLED + 3 + PMPS is in some way disabled, either with maint mode or arbiter disable + + + qualified_only + + + strict + + + + + FB_StatePMPSEnables + 27520 + + stMotionStage + ST_MotionStage + The motor with a position state. + 64 + 64 + + + ItemType + InOut + + + + + astPositionState + ST_PositionState + + 1 + 15 + + All possible position states for this motor. + 64 + 128 + + + ItemType + InOut + + + + + fbFFHWO + FB_HardwareFFOutput + Hardware output to fault to if there is a problem. + 64 + 192 + + + ItemType + InOut + + + + + bEnable + BOOL + If TRUE, do the limits as normal. If FALSE, allow all moves regardless of the limits defined here. + 8 + 256 + + + ItemType + Input + + + + + nGoalStateIndex + UINT + The state that the motor is moving to. + 16 + 272 + + + ItemType + Input + + + + + eStatePMPSStatus + E_StatePMPSStatus + The overal PMPS FB state + 16 + 288 + + + ItemType + Input + + + + + bTransitionAuthorized + BOOL + Connect to the BPTM + 8 + 304 + + + ItemType + Input + + + + + bEnabled + BOOL + The enable state we send to MC_Power. This is a pass-through from stMotionStage. + 8 + 312 + + + ItemType + Output + + + + + bForwardEnabled + BOOL + The forward enable state we send to MC_Power. This may be a pass-through or an override to FALSE. + 8 + 320 + + + ItemType + Output + + + + + bBackwardEnabled + BOOL + The backwards enable state we send to MC_Power. This may be a pass-through or an override to FALSE. + 8 + 328 + + + ItemType + Output + + + + + bValidGoal + BOOL + TRUE if there is a valid goal position and FALSE otherwise. This makes a fast fault if FALSE. + 8 + 336 + + + ItemType + Output + + + + + mc_power + MC_Power + 960 + 384 + + + nPrevStateIndex + DINT + 32 + 1344 + + + fLowerPos + LREAL + 64 + 1408 + + + fUpperPos + LREAL + 64 + 1472 + + + ffNoGoal + FB_FastFault + 25920 + 1536 + + + bLockBounds + BOOL + 8 + 27456 + + + bErrorMsg + BOOL + 8 + 27464 + + + SetEnables + + + ApplyEnables + + + GetBounds + + + RunFastFaults + + + + PouType + FunctionBlock + + + + + FB_StatePMPSEnablesND + 135552 + + astMotionStage + ST_MotionStage + + 1 + 3 + + The motors with a combined N-dimensional state + 64 + 64 + + + ItemType + InOut + + + + + astPositionState + ST_PositionState + + 1 + 3 + + + 1 + 15 + + Each motor's respective position states along its direction + 64 + 128 + + + ItemType + InOut + + + + + fbFFHWO + FB_HardwareFFOutput + Hardware output to fault to if there is a problem. + 64 + 192 + + + ItemType + InOut + + + + + bEnable + BOOL + Whether or not to do anything + 8 + 256 + + + ItemType + Input + + + + + nActiveMotorCount + UINT + The number of motors we're actually using + 16 + 272 + + + ItemType + Input + + + + + nGoalStateIndex + UINT + The state that the motors are moving to, along dimension 2 of the position state array. This may be the same as the current state. + 16 + 288 + + + ItemType + Input + + + + + sDeviceName + STRING(80) + A name to use for this state mover in the case of fast faults. + 648 + 304 + + + ItemType + Input + + + + + bMaintMode + BOOL + Set to TRUE to put motors into maintenance mode. This allows us to freely move the motors at the cost of a fast fault. + 8 + 952 + + + ItemType + Input + + + + + eStatePMPSStatus + E_StatePMPSStatus + The overal PMPS FB state + 16 + 960 + + + ItemType + Input + + + + + bTransitionAuthorized + BOOL + Connect from bptm bTransitionAuthorized + 8 + 976 + + + ItemType + Input + + + + + abEnabled + BOOL + + 1 + 3 + + Per-motor enable state we send to MC_Power. This is a pass-through from stMotionStage. + 24 + 984 + + + ItemType + Output + + + + + abForwardEnabled + BOOL + + 1 + 3 + + Per-motor forward enable state we send to MC_Power. This may be a pass-through or an override to FALSE. + 24 + 1008 + + + ItemType + Output + + + + + abBackwardEnabled + BOOL + + 1 + 3 + + Per-motor backwards enable state we send to MC_Power. This may be a pass-through or an override to FALSE. + 24 + 1032 + + + ItemType + Output + + + + + abValidGoal + BOOL + + 1 + 3 + + Per-motor TRUE if there is a valid goal position and FALSE otherwise. This makes a fast fault if FALSE. + 24 + 1056 + + + ItemType + Output + + + + + bMotorCountError + BOOL + Set to TRUE if the arrays have mismatched sizing. For this FB, this means the motor won't ever get an enable. + 8 + 1080 + + + ItemType + Output + + + + + afbStateEnables + FB_StatePMPSEnables + + 1 + 3 + + The individual state limit function blocks + 82560 + 1088 + + + ffMaint + FB_FastFault + 25920 + 83648 + + + ffProgrammerError + FB_FastFault + 25920 + 109568 + + + nIter + DINT + 32 + 135488 + + + DoLimits + + + CheckCount + + + RunFastFaults + + + + PouType + FunctionBlock + + + + + FB_MiscStatesErrorFFO + 106944 + + fbArbiter + FB_Arbiter + The arbiter to request beam states from + 64 + 64 + + + ItemType + InOut + + + + + fbFFHWO + FB_HardwareFFOutput + The fast fault output to fault to + 64 + 128 + + + ItemType + InOut + + + + + sDeviceName + STRING(80) + A name to link to these fast faults + 648 + 192 + + + ItemType + Input + + + + + stCurrentBeamReq + ST_BeamParams + Current requested beam details: either a known state or the transition beam + 1760 + 864 + + + ItemType + Input + + + + + bKnownState + BOOL + TRUE if we're at a known state (doesn't matter which) + 8 + 2624 + + + ItemType + Input + + + + + nTransitionID + DWORD + Lookup ID of the transition beam + 32 + 2656 + + + ItemType + Input + + + + + nMaxTrips + UINT + Number of consecutive trips before we debounce + 16 + 2688 + + 5 + + + + tTripReset + TIME + Decrease trip count by 1 after this much time has passed + 32 + 2720 + + T#1s + + + + ffBeamParamsOk + FB_FastFault + If the beam parameters are wrong, it is a fault! This encompasses all unknown arbiter-related errors. + 25920 + 2752 + + + ffZeroRate + FB_FastFault + If we asked for zero rate (NC or SC) then we can cut the beam early. This is somewhat redundant. + 25920 + 28672 + + + ffUnknown + FB_FastFault + Trip the beam for unknown state + 25920 + 54592 + + + ffDebounce + FB_FastFault + Trip the beam (no autoreset) if ffBeamParamsOK faults/resets multiple times too quickly. + 25920 + 80512 + + + nTripCount + UINT + Number of consecutive trips so far + 16 + 106432 + + + ftTripCount + F_TRIG + Increase by 1 whenever there is a fault (rising edge) + 128 + 106496 + + + tonTripCount + TON + Decrease trip count by 1 each timeout + 256 + 106624 + + + bFirstCycle + BOOL + TRUE on only the first cycle + 8 + 106880 + + true + + + + + PouType + FunctionBlock + + + + + FB_NCErrorFFO + 28800 + + stMotionStage + ST_MotionStage + Motion stage to monitor + 64 + 64 + + + ItemType + InOut + + + + + fbFFHWO + FB_HardwareFFOutput + FFO to trip + 64 + 128 + + + ItemType + InOut + + + + + bReset + BOOL + Reset the fault + 8 + 192 + + + ItemType + Input + + + + + bAutoReset + BOOL + Auto-reset the fault + 8 + 200 + + + ItemType + Input + + + + + nLowErrorId + UDINT + The lowest error code that will trip the FFO + 32 + 224 + + 16384 + + + + ItemType + Input + + + + + nHighErrorId + UDINT + The highest error code that will trip the FFO + 32 + 256 + + 20479 + + + + ItemType + Input + + + + + sDesc + STRING(80) + A description of the fault + 648 + 288 + + Motor error + + + + ItemType + Input + + + + + bTripped + BOOL + Quick way for nearby code to check if this block has tripped the FFO. + 8 + 936 + + + ItemType + Output + + + + + nErrorTypeCode + UINT + Error code sent to PMPS. Is always 16#20XX, where XX is the first two hex in the NC error. + 16 + 944 + + + ItemType + Output + + + + + bInit + BOOL + 8 + 960 + + + stBeamParams + ST_BeamParams + 1760 + 992 + + + fbFF + FB_FastFault + 25920 + 2752 + + + rtTrip + R_TRIG + 128 + 28672 + + + + PouType + FunctionBlock + + + + + FB_EncErrorFFO + 29056 + + stMotionStage + ST_MotionStage + Motion stage to monitor + 64 + 64 + + + ItemType + InOut + + + + + fbFFHWO + FB_HardwareFFOutput + FFO to trip + 64 + 128 + + + ItemType + InOut + + + + + bReset + BOOL + Reset the fault + 8 + 192 + + + ItemType + Input + + + + + bAutoReset + BOOL + Auto reset the fault + 8 + 200 + + + ItemType + Input + + + + + bTripped + BOOL + Quick way for nearby code to check if this block has tripped the FFO. + 8 + 208 + + + ItemType + Output + + + + + fbNCErrorFFO + FB_NCErrorFFO + 28800 + 256 + + + .nLowErrorId + 17408 + + + .nHighErrorId + 17663 + + + .sDesc + Encoder error + + + + + + PouType + FunctionBlock + + + + + FB_PerMotorFFOND + 114048 + + astMotionStage + ST_MotionStage + + 1 + 3 + + All motors associated with the state mover. + 64 + 64 + + + ItemType + InOut + + + + + fbFFHWO + FB_HardwareFFOutput + Fast fault output to fault to. + 64 + 128 + + + ItemType + InOut + + + + + nActiveMotorCount + UINT + The number of motors we're actually using + 16 + 192 + + + ItemType + Input + + + + + sDeviceName + STRING(80) + Identifying name to use in group fast faults + 648 + 208 + + + ItemType + Input + + + + + bMotorCountError + BOOL + Set to TRUE if the arrays don't have the same bounds. In this FB, that's an automatic fault. + 8 + 856 + + + ItemType + Output + + + + + afbEncError + FB_EncErrorFFO + + 1 + 3 + + 87168 + 896 + + + ffProgrammerError + FB_FastFault + 25920 + 88064 + + + nIter + DINT + 32 + 113984 + + + HandleLoops + + + HandleFFO + + + CheckCount + + + + PouType + FunctionBlock + + + + + FB_PositionStatePMPSND_Core + 682240 + + astMotionStageMax + ST_MotionStage + + 1 + 3 + + All motors to be used in the states move, including blank/uninitialized structs. + 64 + 64 + + + ItemType + InOut + + + + + astPositionStateMax + ST_PositionState + + 1 + 3 + + + 1 + 15 + + All position states for all motors, including unused/invalid states. + 64 + 128 + + + ItemType + InOut + + + + + stEpicsToPlc + ST_StateEpicsToPlc + Normal EPICS inputs, gathered into a single struct + 64 + 192 + + + ItemType + InOut + + + + + stPMPSEpicsToPlc + ST_StatePMPSEpicsToPlc + PMPS EPICS inputs, gathered into a single struct + 64 + 256 + + + ItemType + InOut + + + + + stPlcToEpics + ST_StatePlcToEpics + Normal EPICS outputs, gathered into a single struct + 64 + 320 + + + ItemType + InOut + + + + + stPMPSPlcToEpics + ST_StatePMPSPlcToEpics + PMPS EPICS outputs, gathered into a single struct + 64 + 384 + + + ItemType + InOut + + + + + fbFFHWO + FB_HardwareFFOutput + The fast fault output to fault to. + 64 + 448 + + + ItemType + InOut + + + + + fbArbiter + FB_Arbiter + The arbiter to request beam conditions from. + 64 + 512 + + + ItemType + InOut + + + + + bEnableBeamParams + BOOL + Set this to TRUE to enable beam parameter checks, or FALSE to disable them. + 8 + 576 + + + ItemType + Input + + + + + bEnablePositionLimits + BOOL + Set this to TRUE to enable position limit checks, or FALSE to disable them. + 8 + 584 + + + ItemType + Input + + + + + nActiveMotorCount + UINT + Set this to the number of motors to be included in astMotionStageMax + 16 + 592 + + + ItemType + Input + + + + + sDeviceName + STRING(80) + The name of the device for use in the PMPS DB lookup and diagnostic screens. + 648 + 608 + + + ItemType + Input + + + + + sTransitionKey + STRING(80) + The name of the transition state in the PMPS database. + 648 + 1256 + + + ItemType + Input + + + + + nCurrGoal + UINT + The current position index goal, where the motors are supposed to be moving towards. + 16 + 1904 + + + ItemType + Input + + + + + bReadDBNow + BOOL + Set this to TRUE to re-read the loaded database immediately (useful for debug) + 8 + 1920 + + + ItemType + Input + + + + + stDbStateParams + ST_DbStateParams + The PMPS database lookup associated with the current position state. + 2496 + 1952 + + + ItemType + Output + + + + + fbMotionReadPMPSDB + FB_MotionReadPMPSDBND + 205632 + 4480 + + + fbMotionBPTM + FB_MotionBPTM + 115072 + 210112 + + + fbMotionClearAsserts + FB_MotionClearAsserts + 448 + 325184 + + + fbStatePMPSEnables + FB_StatePMPSEnablesND + 135552 + 325632 + + + fbMiscStatesErrorFFO + FB_MiscStatesErrorFFO + 106944 + 461184 + + + fbPerMotorFFO + FB_PerMotorFFOND + 114048 + 568128 + + + eStatePMPSStatus + E_StatePMPSStatus + 16 + 682176 + + + + PouType + FunctionBlock + + + + + FB_PositionStatePMPS2D + 1541440 + + stMotionStage1 + ST_MotionStage + The 1st motor to move + 64 + 64 + + + ItemType + InOut + + + + + stMotionStage2 + ST_MotionStage + The 2nd motor to move + 64 + 128 + + + ItemType + InOut + + + + + astPositionState1 + ST_PositionState + + 1 + 15 + + All possible position states for motor 1, including unused/invalid states. + 64 + 192 + + + ItemType + InOut + + + pytmc + + pv: STATE:M1 + io: io + expand: :%.2d + + + + + + astPositionState2 + ST_PositionState + + 1 + 15 + + All possible position states for motor 2, including unused/invalid states. + 64 + 256 + + + ItemType + InOut + + + pytmc + + pv: STATE:M2 + io: io + expand: :%.2d + + + + + + eEnumSet + UINT + Set this to a nonzero value to request a new move. It will be reset to zero every cycle. This should be hooked up to a user's EPICS enum input. + 64 + 320 + + + ItemType + InOut + + + + + eEnumGet + UINT + The current state index, or zero if we are not at a state. This should be hooked up to a user's EPICS enum output. + 64 + 384 + + + ItemType + InOut + + + + + fbFFHWO + FB_HardwareFFOutput + The fast fault output to fault to. + 64 + 448 + + + ItemType + InOut + + + + + fbArbiter + FB_Arbiter + The arbiter to request beam conditions from. + 64 + 512 + + + ItemType + InOut + + + + + bEnableMotion + BOOL + Set this to TRUE to enable input state moves, or FALSE to disable them. + 8 + 576 + + + ItemType + Input + + + + + bEnableBeamParams + BOOL + Set this to TRUE to enable beam parameter checks, or FALSE to disable them. + 8 + 584 + + + ItemType + Input + + + + + bEnablePositionLimits + BOOL + Set this to TRUE to enable position limit checks, or FALSE to disable them. + 8 + 592 + + + ItemType + Input + + + + + sDeviceName + STRING(80) + The name of the device for use in the PMPS DB lookup and diagnostic screens. + 648 + 600 + + + ItemType + Input + + + + + sTransitionKey + STRING(80) + The name of the transition state in the PMPS database. + 648 + 1248 + + + ItemType + Input + + + + + stEpicsToPlc + ST_StateEpicsToPlc + Normal EPICS inputs, gathered into a single struct + PMPS EPICS inputs, gathered into a single struct + 32 + 1904 + + + ItemType + Input + + + pytmc + pv: STATE + + + + + stPMPSEpicsToPlc + ST_StatePMPSEpicsToPlc + 16 + 1936 + + + ItemType + Input + + + pytmc + pv: STATE + + + + + bReadDBNow + BOOL + Set this to TRUE to re-read the loaded database immediately (useful for debug) + 8 + 1952 + + + ItemType + Input + + + + + stPlcToEpics + ST_StatePlcToEpics + Normal EPICS outputs, gathered into a single struct + 768 + 1984 + + + ItemType + Output + + + pytmc + pv: STATE + + + + + stPMPSPlcToEpics + ST_StatePMPSPlcToEpics + PMPS EPICS outputs, gathered into a single struct + 2496 + 2752 + + + ItemType + Output + + + pytmc + pv: STATE + + + + + stDbStateParams + ST_DbStateParams + The PMPS database lookup associated with the current position state. + 2496 + 5248 + + + ItemType + Output + + + + + fbCore + FB_PositionStateND_Core + 609536 + 7744 + + + fbPMPSCore + FB_PositionStatePMPSND_Core + 682240 + 617280 + + + astMotionStageMax + ST_MotionStage + + 1 + 3 + + 77760 + 1299520 + + + astPositionStateMax + ST_PositionState + + 1 + 3 + + + 1 + 15 + + 164160 + 1377280 + + + + PouType + FunctionBlock + + + + + FB_StateSetupHelper + 92352 + + stPositionState + ST_PositionState + 64 + 64 + + + ItemType + InOut + + + + + bSetDefault + BOOL + 8 + 128 + + + ItemType + Input + + + + + bForceUpdate + BOOL + 8 + 136 + + + ItemType + Input + + + + + sName + STRING(80) + 648 + 144 + + + ItemType + Input + + + + + fPosition + LREAL + 64 + 832 + + + ItemType + Input + + + + + nEncoderCount + UDINT + 32 + 896 + + + ItemType + Input + + + + + fDelta + LREAL + 64 + 960 + + + ItemType + Input + + + + + fVelocity + LREAL + 64 + 1024 + + + ItemType + Input + + + + + fAccel + LREAL + 64 + 1088 + + + ItemType + Input + + + + + fDecel + LREAL + 64 + 1152 + + + ItemType + Input + + + + + bMoveOk + BOOL + 8 + 1216 + + + ItemType + Input + + + + + bLocked + BOOL + 8 + 1224 + + + ItemType + Input + + + + + bValid + BOOL + 8 + 1232 + + + ItemType + Input + + + + + bUseRawCounts + BOOL + 8 + 1240 + + + ItemType + Input + + + + + sPmpsState + STRING(80) + 648 + 1248 + + + ItemType + Input + + + + + stDefault + ST_PositionState + 3648 + 1920 + + + fbWarning + FB_LogMessage + 86080 + 5568 + + + bHasDefault + BOOL + 8 + 91648 + + + bHasWarned + BOOL + 8 + 91656 + + + sJson + STRING(80) + 648 + 91664 + + + + PouType + FunctionBlock + + + + + E_B4C_Rh_CoatingStates + 16 + UINT - UWF_CurrentState - 9288818113970177 - current protection state, BOOL, read-only + Unknown + 0 - UWF_CurrentOverlayMode - 10977667974234114 - overlay mode of current state (0=RAM,1=Disk), UDINT, read-only + B4C + 1 - UWF_CurrentOverlaySize - 10977667974234115 - overlay size of current state (MB), UDINT, read-only + Rh + 2 + + + qualified_only + + + strict + + + generate_implicit_init_function + + + + + FB_PositionStatePMPS1D + 1541312 + + stMotionStage + ST_MotionStage + The motor to move. + 64 + 64 + + + ItemType + InOut + + + + + astPositionState + ST_PositionState + + 1 + 15 + + All possible position states, including unused/invalid states. + 64 + 128 + + + ItemType + InOut + + + pytmc + + pv: STATE + io: io + expand: :%.2d + + + + + + eEnumSet + UINT + Set this to a nonzero value to request a new move. It will be reset to zero every cycle. This should be hooked up to a user's EPICS enum input. + 64 + 192 + + + ItemType + InOut + + + + + eEnumGet + UINT + The current state index, or zero if we are not at a state. This should be hooked up to a user's EPICS enum output. + 64 + 256 + + + ItemType + InOut + + + + + fbFFHWO + FB_HardwareFFOutput + The fast fault output to fault to. + 64 + 320 + + + ItemType + InOut + + + + + fbArbiter + FB_Arbiter + The arbiter to request beam conditions from. + 64 + 384 + + + ItemType + InOut + + + + + bEnableMotion + BOOL + Set this to TRUE to enable input state moves, or FALSE to disable them. + 8 + 448 + + + ItemType + Input + + + + + bEnableBeamParams + BOOL + Set this to TRUE to enable beam parameter checks, or FALSE to disable them. + 8 + 456 + + + ItemType + Input + + + + + bEnablePositionLimits + BOOL + Set this to TRUE to enable position limit checks, or FALSE to disable them. + 8 + 464 + + + ItemType + Input + + + + + sDeviceName + STRING(80) + The name of the device for use in the PMPS DB lookup and diagnostic screens. + 648 + 472 + + + ItemType + Input + + + + + sTransitionKey + STRING(80) + The name of the transition state in the PMPS database. + 648 + 1120 + + + ItemType + Input + + + + + stEpicsToPlc + ST_StateEpicsToPlc + Normal EPICS inputs, gathered into a single struct + 32 + 1776 + + + ItemType + Input + + + pytmc + pv: STATE + + + + + stPMPSEpicsToPlc + ST_StatePMPSEpicsToPlc + PMPS EPICS inputs, gathered into a single struct + 16 + 1808 + + + ItemType + Input + + + pytmc + pv: STATE + + + + + bReadDBNow + BOOL + Set this to TRUE to re-read the loaded database immediately (useful for debug) + 8 + 1824 + + + ItemType + Input + + + + + stPlcToEpics + ST_StatePlcToEpics + Normal EPICS outputs, gathered into a single struct + 768 + 1856 + + + ItemType + Output + + + pytmc + pv: STATE + + + + + stPMPSPlcToEpics + ST_StatePMPSPlcToEpics + PMPS EPICS outputs, gathered into a single struct + 2496 + 2624 + + + ItemType + Output + + + pytmc + pv: STATE + + + + + stDbStateParams + ST_DbStateParams + The PMPS database lookup associated with the current position state. + 2496 + 5120 + + + ItemType + Output + + + + + fbCore + FB_PositionStateND_Core + 609536 + 7616 + + + fbPMPSCore + FB_PositionStatePMPSND_Core + 682240 + 617152 + + + astMotionStageMax + ST_MotionStage + + 1 + 3 + + 77760 + 1299392 + + + astPositionStateMax + ST_PositionState + + 1 + 3 + + + 1 + 15 + + 164160 + 1377152 + + + + PouType + FunctionBlock + + + + + E_Grating_States + 16 + UINT - UWF_NextState - 27303216623517697 - next protection state, BOOL, read/write + Unknown + 0 - UWF_NextOverlayMode - 28992066483781634 - overlay mode of next state (0=RAM,1=Disk), UDINT, read/write + LRG + 1 - UWF_NextOverlaySize - 28992066483781635 - overlay size of next state (MB), UDINT, read/write + Unruled + 2 - UWF_NoVolumes - 10414718020943872 - number of volumes (VolumeList length), UINT, read-only + YAG + 3 - UWF_VolumeList_Name - 156500230933774337 - volume name, STRING, read-only + MEG + 4 - UWF_VolumeList_CurrentState - 153404006190022657 - current protection state of volume, BOOL, read-only + HEG + 5 - UWF_VolumeList_NextState - 153404006190088193 - next protection state of volume, BOOL, read-only + LEG + 6 - qualified_only + qualified_only + + + strict + + + generate_implicit_init_function + + + + + FB_TempSensor + 256 + + fResolution + LREAL + Resolution parameter from the Beckhoff docs. Default is 0.1 for 0.1 degree resolution + 64 + 64 + + 0.1 + + + + ItemType + Input + + + + + fTemp + LREAL + 64 + 128 + + + ItemType + Output + + + pytmc + + pv: TEMP + io: input + field: EGU C + field: PREC 2 + + + + + + bConnected + BOOL + 8 + 192 + + + ItemType + Output + + + pytmc + + pv: CONN + io: input + field: ONAM Connected + field: ZNAM Disconnected + + + + + + bError + BOOL + 8 + 200 + + true + + + + ItemType + Output + + + pytmc + + pv: ERR + io: input + field: ONAM True + field: ZNAM False + + + + TcAddressType + Input + + + + + bUnderrange + BOOL + 8 + 208 + + + ItemType + Output + + + TcAddressType + Input + + + + + bOverrange + BOOL + 8 + 216 + + + ItemType + Output + + + TcAddressType + Input + + + + + iRaw + INT + 16 + 224 + + + TcAddressType + Input + + + + + + PouType + FunctionBlock - USINT (USINT#1..255) - 8 - USINT + FB_PositionStateBase + 256512 + + stMotionStage + ST_MotionStage + Motor to move + 64 + 64 + + + ItemType + InOut + + + + + bEnable + BOOL + If TRUE, start a move when setState transitions to a nonzero number + 8 + 128 + + + ItemType + Input + + + + + bReset + BOOL + On rising edge, reset this FB + 8 + 136 + + + ItemType + Input + + + pytmc + + pv: RESET + io: io + field: ZNAM False + field: ONAM True + + + + + + bError + BOOL + If TRUE, there is an error + 8 + 144 + + + ItemType + Output + + + pytmc + + pv: ERR + io: i + field: ZNAM False + field: ONAM True + + + + + + nErrorId + UDINT + Error ID + 32 + 160 + + + ItemType + Output + + + pytmc + + pv: ERRID + io: i + + + + + + sErrorMessage + STRING(80) + The error that caused bError to flip TRUE + 648 + 192 + + + ItemType + Output + + + pytmc + + pv: ERRMSG + io: i + + + + + + bBusy + BOOL + If TRUE, we are moving the motor + 8 + 840 + + + ItemType + Output + + + pytmc + + pv: BUSY + io: i + field: ZNAM False + field: ONAM True + + + + + + bDone + BOOL + If TRUE, we are not moving the motor and the last move completed successfully + 8 + 848 + + + ItemType + Output + + + pytmc + + pv: DONE + io: i + field: ZNAM False + field: ONAM True + + + + + + arrStates + ST_PositionState + + 1 + 15 + + Pre-allocated array of states + 54720 + 896 + + + pytmc + + pv: + io: io + expand: %.2d + + + + + + setState + INT + Corresponding arrStates index to move to, or 0 if no move selected + 16 + 55616 + + + goalState + INT + The current position we are trying to reach, or 0 + 16 + 55632 + + + getState + INT + The readback position + 16 + 55648 + + + bInit + BOOL + 8 + 55664 + + + stUnknown + ST_PositionState + 3648 + 55680 + + + stGoal + ST_PositionState + 3648 + 59328 + + + fbStateMove + FB_PositionStateMove + 3200 + 62976 + + + fbStateInternal + FB_PositionStateInternal + + 1 + 15 + + 190080 + 66176 + + + nIndex + INT + 16 + 256256 + + + bNewGoal + BOOL + 8 + 256272 + + + bInnerExec + BOOL + 8 + 256280 + + + bInnerReset + BOOL + 8 + 256288 + + + rtReset + R_TRIG + 128 + 256320 + + + bMoveRequested + BOOL + 8 + 256448 + + + Exec + + + StateHandler + - LowerBorder - 1 - - - UpperBorder - 255 + PouType + FunctionBlock - - - - E_IPCDiag_Datatype - parameter datatype 1..15 - 8 - BYTE - - eBOOL - 1 - size: 1 byte - - - eSINT - 2 - - - eUSINT - 3 - - - eINT - 4 - size: 2 bytes - - - eUINT - 5 - - - eDINT - 6 - size: 4 bytes - - - eUDINT - 7 - - - eREAL - 10 - - - eULINT - 9 - size: 8 bytes - eLINT := 8, - - - eSTRING - 12 - eLREAL := 16#B, - default size: 256 bytes - - - qualified_only + obsolete + Use FB_PositionState1D instead - FB_IPCDiag_ParameterKey - 896 + FB_PositionStatePMPS_Base + 20096 - eParamKey - E_IPCDiag_ParameterKey + stMotionStage + ST_MotionStage 64 64 + + + ItemType + InOut + + - _eDatatype - E_IPCDiag_Datatype - 8 - 128 - - - cKeyMask_Access - LWORD + arrStates + ST_PositionState + + 1 + 15 + 64 - 192 - - 67553994410557440 - + 128 - displaymode - hex + ItemType + InOut - cKeyMask_Datatype - LWORD - 64 - 256 + bArbiterEnabled + BOOL + 8 + 192 - 4222124650659840 + true - displaymode - hex + ItemType + Input - cKeyMask_ModType - LWORD - 64 - 320 - - 281470681743360 - + bMaintMode + BOOL + 8 + 200 - displaymode - hex + ItemType + Input + + + pytmc + + pv: MAINT + io: io + - cKeyMask_Area - LWORD - 64 - 384 - - 4026531840 - + bRequestTransition + BOOL + 8 + 208 - displaymode - hex + ItemType + Input - cKeyMask_ModIdx - LWORD - 64 - 448 - - 267386880 - + setState + INT + 16 + 224 - displaymode - hex + ItemType + Input - cKeyMask_TableId - LWORD - 64 - 512 - - 983040 - + getState + INT + 16 + 240 - displaymode - hex + ItemType + Input - cKeyMask_Flags - LWORD + fStateBoundaryDeadband + LREAL 64 - 576 + 256 - 65280 + 0 - displaymode - hex + ItemType + Input - cKeyMask_SubIdx - LWORD - 64 - 640 + tArbiterTimeout + TIME + 32 + 320 - 255 + T#1s - displaymode - hex + ItemType + Input - cKeyMask_IsList - LWORD - 64 - 704 + bMoveOnArbiterTimeout + BOOL + 8 + 352 - 144115188075855872 + true - displaymode - hex + ItemType + Input - cKeyMask_IsModuleCnt - LWORD - 64 - 768 - - 72057594037927936 - + bTransitionAuthorized + BOOL + 8 + 360 - displaymode - hex + ItemType + Output - cKeyMask_IsConstant - LWORD - 64 - 832 - - 4503599627370496 - + bForwardAuthorized + BOOL + 8 + 368 - displaymode - hex + ItemType + Output - - IsModuleCnt - BOOL - 8 - - - GetMDPArea - BYTE - 8 - - - GetMDPTableID - returns the MDP table id (part of MDP address) - BYTE - 8 - - - GetMDPSubIndex - BYTE - 8 - - - __geteDatatype - E_IPCDiag_Datatype - 8 - - eDatatype - E_IPCDiag_Datatype - 8 - + + bBackwardAuthorized + BOOL + 8 + 376 - property + ItemType + Output - - - GetMDPFlags - BYTE - 8 - - - IsListParam - BOOL - 8 - - - IsConstantParam - BOOL - 8 - - nAccess - BYTE - 8 - - - - GetMDPModuleType - E_IPCDiag_ModuleType - 16 - - - SetKey - - eParamKey - E_IPCDiag_ParameterKey - 64 - - - - - PouType - FunctionBlock - - - - - FB_DynMem_Buffer - provides a dynamically created buffer for individual data. - 256 + - _pBuffer - PVOID - 64 - 64 - - 0 - + bArbiterTimeout + BOOL + 8 + 384 - conditionalshow + ItemType + Output - _nBufferSize - UDINT - 32 - 128 - - 0 - + stTransitionDb + ST_DbStateParams + 2496 + 416 - conditionalshow + pytmc + + pv: TRANS + io: i + - ipMemMan - I_DynMem_Manager + stTransitionBeam + ST_BeamParams + 1760 + 2912 + + + stTransitionState + ST_PositionState + 3648 + 4672 + + + bInit + BOOL + 8 + 8320 + + true + + + + bTransDone + BOOL + 8 + 8328 + + + rtTransReq + R_TRIG + 128 + 8384 + + + bBPTMDone + BOOL + 8 + 8512 + + + rtBPTMDone + R_TRIG + 128 + 8576 + + + ftMotorExec + F_TRIG + 128 + 8704 + + + rtTransDone + R_TRIG + 128 + 8832 + + + rtDoLateFinish + R_TRIG + 128 + 8960 + + + tonDone + TON + 256 + 9088 + + + stStateReq + ST_PositionState + 3648 + 9344 + + + mcPower + MC_Power + 960 + 12992 + + + fUpperBound + LREAL 64 - 192 + 13952 - nInstanceCnt - UDINT - 32 + fLowerBound + LREAL + 64 + 14016 - - bAvailable + + nGoalState + INT + 16 + 14080 + + + stGoalState + ST_PositionState + 3648 + 14144 + + + fActPos + LREAL + 64 + 17792 + + + fReqPos + LREAL + 64 + 17856 + + + bInTransition BOOL - is TRUE if a buffer is available. 8 - 164007896 - - - nBufferSize - UDINT - current buffer size in bytes. - 32 - 164007800 - - - __getnBufferSize - UDINT - 32 - - nBufferSize - UDINT - 32 - - - - property - - - + 17920 + + + stBeamNeeded + ST_BeamParams + 1760 + 17952 + + + bFwdOk + BOOL + 8 + 19712 + + + bBwdOk + BOOL + 8 + 19720 + + + tonArbiter + TON + 256 + 19776 + + + bLateFinish + BOOL + 8 + 20032 + + + bInternalAuth + BOOL + 8 + 20040 + + + AssertHere + + + HandleBPTM + + + HandleFFO + + + ClearAsserts + + + Exec + + + HandlePmpsDb + - Resize - | This method performs a resize of the buffer memory. -| Return value: TRUE => Success, FALSE => Failure - BOOL - 8 - - nSize - new buffer size [in bytes] - UDINT - 32 - - - bPreserve - TRUE => preserve old content, FALSE=> don't preserve old content - BOOL - 8 - + GetBeamFromState + ST_BeamParams + 1760 - bReset - zero the allocated memory (before preserving) - BOOL - 8 + nState + INT + 16 - pNew - PVOID - 64 - - - cbNew - UDINT - 32 + stState + ST_PositionState + 3648 - CreateBuffer - | creates a buffer by allocating dynamic memory. -| Returns TRUE if succeeded. - BOOL - 8 - - nSize - buffer size [in bytes] - UDINT - 32 - - - bReset - zero the allocated memory - BOOL - 8 - - - - Clear - | This method clears the buffer memory. -| Return value: TRUE => Success, FALSE => Failure - BOOL - 8 - - - PassBufferOwnership - returns TRUE if succeeded - returns FALSE if no buffers was available. - returns FALSE if one of the inputs is invalid. - BOOL - 8 - - pBuffer - PVOID - 64 - - - nBufferSize - UDINT - 64 - - - - RetrieveBufferOwnership - returns TRUE if succeeded - BOOL - 8 - - pBuffer - PVOID - 64 - + GetStateCode + INT + 16 - nBufferSize - UDINT - 64 - - - - __getpBuffer - PVOID - 64 - - pBuffer - PVOID - 64 - - - - property - - - - - __getbAvailable - BOOL - 8 - - bAvailable - BOOL - 8 - - - - property - - + nState + INT + 16 + - DeleteBuffer - | deletes the created buffer and frees the allocated memory. -| Returns TRUE if succeeded. - BOOL - 8 + GetStateStruct + ST_PositionState + 3648 + + nState + INT + 16 + @@ -76368,87 +67075,44 @@ The BPTM will throw an error if the arbiter does not have enough space for the t FunctionBlock - tc_no_symbol - - - no_explicit_call - do not call this POU directly - - - - - FB_IPCDiag_MDPReadTable - reads a full table of MDP parameters - 2240 - FB_IPCDiag_MDPRead - - - PouType - FunctionBlock + obsolete + Use FB_PositionStatePMPS1D instead - ST_IPCDiag_MDPAddress - size of structure instance: 4 bytes - 32 - - nIndex - WORD - 16 - 0 - - - nFlags - BYTE - 8 - 16 - - - nSubIndex - BYTE - 8 - 24 - - - - FB_IPCDiag_MDPReadSumCmd - reads multiple MDP addresses with parameters of the same datatype - 3200 + FB_PositionStatePMPS + 396032 + FB_PositionStatePMPS_Base - bExecute - BOOL - Function block execution is triggered by a rising edge at this input. - 8 - 64 + fbArbiter + FB_Arbiter + 64 + 20096 ItemType - Input + InOut - pMDPAddress - ST_IPCDiag_MDPAddress - - 0 - 1001 - + fbFFHWO + FB_HardwareFFOutput 64 - 128 + 20160 ItemType - Input + InOut - cbMDPAddress - UDINT - 32 - 192 + bReadPmpsDb + BOOL + 8 + 20224 ItemType @@ -76457,11 +67121,10 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - pDstBuf - PVOID - Contains the address of the buffer for the received data. - 64 - 256 + sPmpsDeviceName + STRING(80) + 648 + 20232 ItemType @@ -76470,11 +67133,10 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - nDstBufSize - UDINT - Contains the max. number of bytes to be received. - 32 - 320 + sTransitionKey + STRING(80) + 648 + 20880 ItemType @@ -76483,14 +67145,10 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - tTimeout - TIME - States the time before the function is cancelled. - 32 - 352 - - 5000 - + stPmpsDoc + SJsonValue + 64 + 21568 ItemType @@ -76499,14 +67157,10 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - sNetId - T_AmsNetID - keep empty '' for the local device - 192 - 384 - - - + stHighBeamThreshold + ST_BeamParams + 1760 + 21632 ItemType @@ -76515,274 +67169,179 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - bBusy + bBPOKAutoReset BOOL 8 - 576 + 23392 + + false + ItemType - Output + Input - bError - BOOL - 8 - 584 - - - ItemType - Output - - + arrPMPS + ST_DbStateParams + + 0 + 16 + + 39936 + 23424 - hrErrorCode - HRESULT - 32 - 608 - - - ItemType - Output - - + nBPIndex + UINT + 16 + 63360 - nCount + nTransitionAssertionID UDINT - returns the number of bytes received 32 - 640 - - - ItemType - Output - - + 63392 - nDataOffset + nLastReqAssertionID UDINT - offset describes beginning of data in read buffer 32 - 672 - - - ItemType - Output - - + 63424 - fbCmdBuffer - FB_DynMem_Buffer - 256 - 704 - - - old_input_assignments - - + fbReadPmpsDb + FB_JsonDocToSafeBP + 115008 + 63488 - nErrID - UDINT - 32 - 960 + ftDbBusy + F_TRIG + 128 + 178496 - nCmds - UDINT - 32 - 992 + rtReadDBExec + R_TRIG + 128 + 178624 - nIdxOffset - UDINT - 32 - 1024 - - - displaymode - hex - - + ftRead + F_TRIG + 128 + 178752 - nDataLen - UDINT - 32 - 1056 + bptm + BeamParameterTransitionManager + 61568 + 178880 - nDataLenSum - UDINT - 32 - 1088 + ffBeamParamsOk + FB_FastFault + 25920 + 240448 - fbAdsRdWrEx - ADSRDWRTEX - 1792 - 1152 - - - .PORT - 10000 - - - .IDXGRP - 62212 - - + ffZeroRate + FB_FastFault + 25920 + 266368 - RisingEdge - R_TRIG - 128 - 2944 + ffBPTMTimeoutAndMove + FB_FastFault + 25920 + 292288 - i - UDINT - 32 - 3072 + ffBPTMError + FB_FastFault + 25920 + 318208 - cSizeOfMDPAddress - USINT + ffMaint + FB_FastFault + 25920 + 344128 + + + ffUnknown + FB_FastFault + 25920 + 370048 + + + bFFOxOk + BOOL 8 - 3104 - - 4 - + 395968 - cIdxGrp - UDINT - 32 - 3136 - - 0 - + bAtSafeState + BOOL + 8 + 395976 - cCmdSize - UDINT - 32 - 3168 - - 12 - + nIter + UINT + 16 + 395984 + + HandlePmpsDb + + + HandleFFO + + + AssertHere + + + ClearAsserts + + + HandleBPTM + PouType FunctionBlock + + obsolete + Use FB_PositionStatePMPS1D instead + - FB_IPCDiag_ReadParameterBase - | reads an IPC diagnostic parameter. -| If the parameter exists in more than one module (configuration area) all values can be read at once. -| If the parameter is a list parameter the full list will be read. (but only of one specified module) - 15232 - I_IPCDiag_AccessParameter - - bExecute - BOOL - 8 - 128 - - - ItemType - Input - - - + FB_PositionStateBase_WithPMPS + 683264 + FB_PositionStateBase - eParameterKey - E_IPCDiag_ParameterKey + fbArbiter + FB_Arbiter 64 - 192 - - - ItemType - Input - - - - - nModuleIdx - USINT - optional module selection for parameters of configuration area: 0=all corresponding modules are read (or rather the first module is read in case of list parameters) - 8 - 256 - - 0 - - - - ItemType - Input - - - - - tTimeout - TIME - ADS communication timeout - 32 - 288 - - 5000 - - - - ItemType - Input - - - - - sNetId - T_AmsNetID - keep empty '' for the local device - 192 - 320 - - - - - - ItemType - Input - - - - - xReserved - DWORD - for future extension, do not use - 32 - 512 + 256512 ItemType - Input + InOut - fbRegister - FB_IPCDiag_Register - read-only + fbFFHWO + FB_HardwareFFOutput 64 - 576 + 256576 ItemType @@ -76791,742 +67350,895 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - bValid - BOOL - read data available =(NOT bBusy AND NOT bError) - 8 - 640 - - - ItemType - Output - - - - - bBusy - BOOL - 8 - 648 - - - ItemType - Output - - - - - bError - BOOL - TRUE if an error occurred. - 8 - 656 - - - ItemType - Output - - - - - hrErrorCode - HRESULT - '< 0' = error; '> 0' = info; '0' = no error/info - 32 - 672 - - - ItemType - Output - - - - - ipErrorMessage - I_TcMessage - shows detailed information about occurred errors - 64 - 704 + sPmpsDeviceName + STRING(80) + 648 + 256640 ItemType - Output + Input - nReadParameterValues - USINT - number of read parameter values - 8 - 768 + sTransitionKey + STRING(80) + 648 + 257288 ItemType - Output + Input - nModuleCount - USINT - number of module instances (configuration area) with the demanded parameter + bArbiterEnabled + BOOL 8 - 776 + 257936 + + true + ItemType - Output + Input + + + pytmc + + pv: PMPS:ARB:ENABLE + io: io + - fbKey - FB_IPCDiag_ParameterKey - 896 - 832 + tArbiterTimeout + TIME + 32 + 257952 + + T#1s + - conditionalshow + ItemType + Input - nParamDatatypeSize - UINT - 16 - 1728 + bMoveOnArbiterTimeout + BOOL + 8 + 257984 + + true + - conditionalshow + ItemType + Input - nModuleIdxSelected - USINT - 8 - 1744 + fStateBoundaryDeadband + LREAL + 64 + 258048 0 - conditionalshow + ItemType + Input - nListLen - USINT + bBPOKAutoReset + BOOL 8 - 1752 + 258112 + + false + - conditionalshow + ItemType + Input - fbResultBuffer - FB_DynMem_Buffer - 256 - 1792 + fbStatePMPS + FB_PositionStatePMPS + 396032 + 258176 - old_input_assignments - - - conditionalshow + pytmc + pv: PMPS - nValidDataOffset - UDINT - 32 - 2048 + fbEncErrFFO + FB_EncErrorFFO + 29056 + 654208 + + + Exec + + + PMPSHandler + + + + PouType + FunctionBlock + + + obsolete + Use FB_PositionStatePMPS1D instead + + + + + ENUM_XS_YAG_States + 16 + INT + + Unknown + 0 + + + OUT + 1 + + + YAG1 + 2 + + + YAG2 + 3 + + + + qualified_only + + + generate_implicit_init_function + + + + + DUT_PositionState + 3648 + ST_PositionState + + + obsolete + DUT_PositionState has been renamed to ST_PositionState + + + + + FB_XS_YAG_States + 694720 + FB_PositionStateBase_WithPMPS + + enumSet + ENUM_XS_YAG_States + 16 + 683264 - conditionalshow + ItemType + Input + + + pytmc + + pv: SET + io: io + - RisingEdge - R_TRIG - 128 - 2112 + stOut + DUT_PositionState + 3648 + 683328 - conditionalshow + ItemType + Input - nState - USINT - 8 - 2240 + stYag1 + DUT_PositionState + 3648 + 686976 - conditionalshow + ItemType + Input - bSubExecute - BOOL - 8 - 2248 + stYag2 + DUT_PositionState + 3648 + 690624 - conditionalshow + ItemType + Input - bSubBusy + bStatesLock BOOL 8 - 2256 + 694272 - conditionalshow + ItemType + Input - fbReadMDP - FB_IPCDiag_MDPRead - 2240 - 2304 + enumGet + ENUM_XS_YAG_States + 16 + 694288 - conditionalshow + ItemType + Output + + + pytmc + + pv: GET + io: i + - nIndex - WORD - 16 - 4544 + bXSInit + BOOL + 8 + 694304 + + true + + + + fInDelta + LREAL + 64 + 694336 + + 2 + + + + fOutDelta + LREAL + 64 + 694400 + + 2 + + + + fInVelocity + LREAL + 64 + 694464 + + 0.5 + + + + fOutVelocity + LREAL + 64 + 694528 + + 0.5 + + + + fAccel + LREAL + 64 + 694592 + + 100 + + + + fOutDecel + LREAL + 64 + 694656 + + 25 + + + + + PouType + FunctionBlock + + + + + FB_AnalogOutput + 576 + + fReal + LREAL + The real value to send to the output + 64 + 64 - displaymode - hex - - - conditionalshow + ItemType + Input - nFlags - BYTE - 8 - 4560 + fSafeMax + LREAL + The maximum allowed real value for the connected hardware + 64 + 128 - conditionalshow + ItemType + Input - nSubIndex - BYTE - 8 - 4568 + fSafeMin + LREAL + The minimum allowed real value for the connected hardware + 64 + 192 - conditionalshow + ItemType + Input - nArea - BYTE - 8 - 4576 + iTermBits + UINT + The number of bits correlated with the terminal's max output. This is not necessarily the resolution parameter. + 16 + 256 - conditionalshow + ItemType + Input - nModuleId - BYTE - 8 - 4584 + fTermMax + LREAL + The fReal value correlated with the terminal's max output + 64 + 320 - conditionalshow + ItemType + Input - eModuleType - E_IPCDiag_ModuleType - 16 - 4592 + fTermMin + LREAL + The fReal value correlated with the terminal's min output + 64 + 384 - conditionalshow + ItemType + Input - nTempDW - DWORD - 32 - 4608 + iRaw + INT + Connect this output to the terminal + 16 + 448 - displaymode - hex + ItemType + Output - conditionalshow + TcAddressType + Output - nTempLW - LWORD + fScale + LREAL 64 - 4672 + 512 + + + + PouType + FunctionBlock + + + + + FB_PPM_Gige + 1344 + + iIlluminatorINT + INT + 16 + 64 - displaymode - hex - - - conditionalshow + TcAddressType + Output - fbReadTable - FB_IPCDiag_MDPReadTable - 2240 - 4736 + bGigePower + BOOL + 8 + 80 - conditionalshow + pytmc + + pv: PWR + field: ZNAM OFF + field: ONAM ON + + + + TcAddressType + Output - fbBufferAddresses - FB_DynMem_Buffer - 256 - 6976 + fIlluminatorPercent + LREAL + 64 + 128 - old_input_assignments - - - conditionalshow + pytmc + + pv: CIL:PCT + EGU: % + - nAddresses - USINT + fbGetIllPercent + FB_AnalogInput + 512 + 192 + + + fbSetIllPercent + FB_AnalogOutput + 576 + 704 + + + bGigeInit + BOOL 8 - 7232 + 1280 + + false + + + + + PouType + FunctionBlock + + + + + ST_BeamParams_IO + 1760 + + nTran + REAL + Requested pre-optic attenuation - 1 is full transmission + 32 + 0 + + 0 + 1 + 1 + - conditionalshow + plcAttribute_pytmc + pv: Attenuation + io: i - fbReadSum - FB_IPCDiag_MDPReadSumCmd - 3200 - 7296 + nRate + UDINT + Pulse-rate + 32 + 32 + + 120 + - conditionalshow + plcAttribute_pytmc + pv: Rate + io: i - stMDPAddress - ST_IPCDiag_MDPAddress + neVRange + DWORD + Photon energy ranges 32 - 10496 + 64 + + 4294967295 + - conditionalshow + plcAttribute_pytmc + pv: PhotonEnergy + io: i - - - - i - USINT - 8 - 10528 - - conditionalshow + plcAttribute_displaymode + binary - nTemp - UDINT + neV + REAL + Current Photon energy as calculated by the arbiter 32 - 10560 - - - conditionalshow - - + 96 - fbErrorMessage - FB_TcMessage - 4224 - 10624 + nBCRange + WORD + 16 + 128 + + 0 + 65535 + 0 + + + + nBeamClass + USINT + 8 + 144 + + 0 + 0 + + + + nMachineMode + USINT + 8 + 152 + + + astAttenuators + ST_PMPS_Attenuator_IO + + 1 + 16 + + Beamline attenuators + 1024 + 160 - conditionalshow + plcAttribute_pytmc + pv: AuxAttenuator + io: i - FallingEdgeBUSY - F_TRIG + astApertures + ST_PMPS_Aperture_IO + + 1 + 4 + + 384 + 1184 + + + aVetoDevices + BOOL + + 1 + 16 + + Stopper statuses 128 - 14848 + 1568 - conditionalshow + plcAttribute_pytmc + pv: AuxAttenuator + io: i - stEventEntryTemp - TcEventEntry - 192 - 14976 + xValidToggle + BOOL + Toggle for watchdog + 8 + 1696 + + + xValid + BOOL + Beam parameter set is valid (if readback), or acknowledged (if request) + 8 + 1704 - conditionalshow + plcAttribute_pytmc + pv: Valid + io: i - cSizeOfMDPAddress - USINT - 8 - 15168 - - 4 - + nCohortInt + UDINT + Cohort index. Identifies which cohort this BP set was included in arbitration + 32 + 1728 - conditionalshow + plcAttribute_pytmc + pv: Attenuation + io: i + + + + + + + + + + + + + + + + + + + + + + + + + + + + + FB_VetoArbiter + 28352 + I_HigherAuthority - cStateReadSingle - USINT + bVeto + BOOL + Rising edge clears request, hold true to veto continuously, falling edge restores request 8 - 15176 + 128 - 0 + false - conditionalshow + ItemType + Input - cStateReadTable - USINT - 8 - 15184 - - 1 - + HigherAuthority + I_HigherAuthority + Typically connected to a higher-level arbiter. + 64 + 192 - conditionalshow + ItemType + Input - cStateReadSum - USINT - 8 - 15192 - - 2 - + LowerAuthority + I_LowerAuthority + Lower authority to be vetoed + 64 + 256 - conditionalshow + ItemType + Input - cStateBusy - USINT - 8 - 15200 - - 11 - + FFO + FB_HardwareFFOutput + This should be the FFO upstream of the veto device + 64 + 320 - conditionalshow + ItemType + InOut - cStateExit - USINT - 8 - 15208 + ffKeepItSecretKeepItSafe + FB_FastFault + 25920 + 384 - 100 + + .i_xAutoReset + true + + + .i_Desc + Holds beam off until request is back in arbitration + + + .i_TypeCode + 200 + + + .i_xVetoable + true + - - - conditionalshow - - - - ipMemMan - I_DynMem_Manager - dynamic memory manager used in the Tc3_IPCDiag library - 64 - 164008048 - + + stStandbyBP + ST_BeamParams + 1760 + 26304 + + + rtVeto + R_TRIG + 128 + 28096 + + + ftVeto + F_TRIG + 128 + 28224 + - GetParameterByIdx - access a read parameter by index specification - HRESULT - 32 - - pBuffer - parameter buffer with a given size of nBufferSize - PVOID - 64 - + CheckRequest + BOOL + 8 - nBufferSize - buffer size in bytes (for one parameter value) - UDINT + nReqID + DWORD 32 - - nParameterIdx - selection of parameter value (1..nReadParameterValues) (e.g. equals list index in case of list parameter) - USINT (1..255) - 8 - - - nErrTmp - UDINT - 32 - - CopyStringFromBuffer - if multiple strings are available (by Sum Read) one string can be copied with this method - - pDstBuf - Contains the address of the buffer for the received data. - BYTE - 64 - - - nDstBufSize - Contains the max. number of bytes to be received. - UDINT - 32 - - - nParaIdx - selection of module idx or list idx (in case of list parameter) - USINT (1..255) - 8 - + RequestBP + BOOL + 8 - nParaSize - UDINT - 32 - - - ItemType - Output - - - - - nLenSum - UDINT - 32 - - - nLenTemp - UDINT - 32 - - - k - UDINT + nReqID + StateID of state requesting beam parameter set + DWORD 32 - - - - __getipMemMan - I_DynMem_Manager - 64 - - ipMemMan - I_DynMem_Manager - 64 - - - - property - - - - - GetParameter - access a read parameter (if more than one value is available all values can be copied at once, except for STRING types) - HRESULT - 32 - - pBuffer - parameter buffer with a given size of nBufferSize - PVOID - 64 - nBufferSize - buffer size in bytes (for one or more values) - UDINT - 32 + stReqBP + Requested beam params + ST_BeamParams + 1760 - Clear - HRESULT - 32 - - - GetParameterStrings - | access a read string parameter with multiple values. -| If more than one value is available all values can be copied to an ARRAY OF STRING at once. - HRESULT - 32 - - pBuffer - parameter buffer with a given size of nBufferSize - PVOID - 64 - + RemoveRequest + BOOL + 8 - nBufferSize - buffer size in bytes (for one or more values) - UDINT + nReqID + StateID to remove + DWORD 32 - - nStrings - number of strings to be copied (each string with buffer size=nBufferSize/nStrings) - USINT - 8 - - - nParameterIdx - USINT (USINT#1..255) - 8 - - - nStringSize - UDINT - 32 - PouType FunctionBlock - - conditionalshow_all_locals - - - - - FB_IPCDiag_ReadParameter - | reads an IPC diagnostic parameter. -| If the parameter exists in more than one module (configuration area) all values can be read at once. -| If the parameter is a list parameter the full list will be read. (but only of one specified module) - 22144 - I_IPCDiag_AccessParameter - - bExecute - BOOL - 8 - 128 - - - ItemType - Input - - - - - eParameterKey - E_IPCDiag_ParameterKey - 64 - 192 - - - ItemType - Input - - - - - nModuleIdx - USINT - optional module selection for parameters of configuration area: 0=all corresponding modules are read (or rather the first module is read in case of list parameters) - 8 - 256 - - 0 - - - - ItemType - Input - - - + + + + FB_SubSysToArbiter_IO + Use on a subsystem PLC to request from the arbiter + Run at the top of your cycle to receive the latest BP + 145024 + I_HigherAuthority - tTimeout - TIME - ADS communication timeout - 32 - 288 - - 5000 - + Reset + BOOL + Fast fault reset + 8 + 128 ItemType @@ -77535,13 +68247,12 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - sNetId - T_AmsNetID - keep empty '' for the local device - 192 - 320 + sName + STRING(80) + 648 + 136 - + SubSysToArbiter @@ -77551,11 +68262,10 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - xReserved - DWORD - for future extension, do not use - 32 - 512 + i_bVeto + BOOL + 8 + 784 ItemType @@ -77564,11 +68274,10 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - fbRegister - FB_IPCDiag_Register - read-only + Arbiter + FB_Arbiter 64 - 576 + 832 ItemType @@ -77577,4602 +68286,13893 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - bValid - BOOL - read data available =(NOT bBusy AND NOT bError) - 8 - 640 - - - ItemType - Output - - - - - bBusy - BOOL - 8 - 648 - - - ItemType - Output - - - - - bError - BOOL - TRUE if an error occurred. - 8 - 656 + fbFFHWO + FB_HardwareFFOutput + 64 + 896 ItemType - Output + InOut - hrErrorCode - HRESULT - '< 0' = error; '> 0' = info; '0' = no error/info - 32 - 672 + i_stCurrentBP + ST_BeamParams_IO + 1760 + 960 - ItemType - Output + TcLinkTo + TIIB[PMPS_PRE]^IO Inputs^CurrentBP - - - - ipErrorMessage - I_TcMessage - shows detailed information about occurred errors - 64 - 704 - - ItemType - Output + TcAddressType + Input - nReadParameterValues - USINT - number of read parameter values - 8 - 768 + q_stRequestedBP + ST_BeamParams_IO + 1760 + 2720 - ItemType - Output + TcLinkTo + TIIB[PMPS_PRE]^IO Outputs^RequestedBP - - - - nModuleCount - USINT - number of module instances (configuration area) with the demanded parameter - 8 - 776 - - ItemType + TcAddressType Output - RisingEdge - R_TRIG - 128 - 832 + xTxPDO_toggle + BIT + 1 + 4480 - conditionalshow + pytmc + pv: TxPDO_toggle + io: i - - - - eParamSelected - E_IPCDiag_ParameterKey - 64 - 960 - - conditionalshow + TcLinkTo + TIIB[PMPS_PRE]^SYNC Inputs^TxPDO toggle - - - - fbReadParam - FB_IPCDiag_ReadParameterBase - 15232 - 1024 - - conditionalshow + TcAddressType + Input - fbErrorMessage - FB_TcMessage - 4224 - 16256 + xTxPDO_state + BIT + 1 + 4481 - conditionalshow + pytmc + pv: TxPDO_state + io: i - - - - FallingEdgeBUSY - F_TRIG - 128 - 20480 - - conditionalshow + TcLinkTo + TIIB[PMPS_PRE]^SYNC Inputs^TxPDO state - - - - stEventEntryTemp - TcEventEntry - 192 - 20608 - - conditionalshow + TcAddressType + Input - sMdpTcVersion - STRING(31) - 256 - 20800 - - - conditionalshow - - + ffPMPSIO_Disconnect + FB_FastFault + Fast faults + 25920 + 4544 + + + .i_Desc + Arbiter network interface disconnected or not OP + + + .i_DevName + SubSysToArbiter + + - nFreeSpaceOfVol - ULINT - 64 - 21056 + nRequestCohort + UDINT + Request cohort + 32 + 30464 + + 0 + - conditionalshow + pytmc + pv: RequestCohort + io: i - __FB_IPCDIAG_READPARAMETER__READFREESPACEOFVOL__NSTATE - USINT - 8 - 21120 + nActiveCohort + UDINT + Active cohort, updated by incoming BP from arbiter PLC, in the ElevateRequest arbiter call + 32 + 30496 + + 0 + - conditionalshow + pytmc + pv: ActiveCohort + io: i - __FB_IPCDIAG_READPARAMETER__READFREESPACEOFVOL__NNOVOLUMES - USINT - 8 - 21128 - - - conditionalshow - - + fbVetoArb + FB_VetoArbiter + 28352 + 30528 - __FB_IPCDIAG_READPARAMETER__READFREESPACEOFVOL__SDRIVELETTER - STRING(7) - 64 - 21136 - - - conditionalshow - - + fbLog + FB_LogMessage + 86080 + 58880 - __FB_IPCDIAG_READPARAMETER__READFREESPACEOFVOL__NFOUNDIDX - USINT + __FB_SUBSYSTOARBITER_IO__CHECKREQUEST__XFIRSTTIME + BOOL 8 - 21200 - - - conditionalshow - - + 144960 + + , + + - __FB_IPCDIAG_READPARAMETER__READFREESPACEOFVOL__HR - HRESULT + __FB_SUBSYSTOARBITER_IO__CHECKREQUEST__NID + DWORD 32 - 21216 - - - conditionalshow - - + 144992 + + CheckRequest + BOOL + 8 + + nReqID + DWORD + 32 + + + xFirstTime + BOOL + 8 + + + uselocation + __FB_SUBSYSTOARBITER_IO__CHECKREQUEST__XFIRSTTIME + + + + + nId + DWORD + 32 + + + uselocation + __FB_SUBSYSTOARBITER_IO__CHECKREQUEST__NID + + + + + + RequestBP + BOOL + 8 + + nReqID + StateID of state requesting beam parameter set + DWORD + 32 + + + stReqBP + Requested beam params + ST_BeamParams + 1760 + + + + RemoveRequest + BOOL + 8 + + nReqID + StateID to remove + DWORD + 32 + + + + + PouType + FunctionBlock + + + + + FB_Axilon_Cooling_1f1p + 1216 - __FB_IPCDIAG_READPARAMETER__READFREESPACEOFVOL__I - USINT - 8 - 21248 + fFlow_1_val + LREAL + Mirrors with 1 Cooling Flow Meter and 1 Pressure Meter + 64 + 64 - conditionalshow + ItemType + Output - - - - __FB_IPCDIAG_READPARAMETER__READMDPVERSION__NSTATE - USINT - 8 - 21256 - - conditionalshow + pytmc + + pv: FWM:1 + field: EGU lpm + field: HIGH 2.3 + field: HIHI 3.0 + field: LOW 1.7 + field: LOLO 1.5 + field: LSV MINOR + field: LLSV MAJOR + field: HSV MINOR + field: HHSV MAJOR + io: i + - __FB_IPCDIAG_READPARAMETER__READMDPVERSION__NNOSOFTWARES - USINT - 8 - 21264 + fPress_1_val + LREAL + 64 + 128 - conditionalshow + ItemType + Output - - - - __FB_IPCDIAG_READPARAMETER__READMDPVERSION__SSWNAME - STRING(79) - 640 - 21272 - - conditionalshow + pytmc + + pv: PRSM:1 + field: EGU bar + field: LOW 0.1 + field: LSV MAJOR + io: i + - __FB_IPCDIAG_READPARAMETER__READMDPVERSION__NFOUNDIDX - USINT - 8 - 21912 - - - conditionalshow - - + fbFlow_1 + FB_AnalogInput + 512 + 192 - __FB_IPCDIAG_READPARAMETER__READMDPVERSION__HR - HRESULT - 32 - 21920 - - - conditionalshow - - + fbPress_1 + FB_AnalogInput + 512 + 704 + + + PouType + FunctionBlock + + + + + FB_Axilon_Cooling_2f1p + 1792 + FB_Axilon_Cooling_1f1p - __FB_IPCDIAG_READPARAMETER__READMDPVERSION__I - USINT - 8 - 21952 + fFlow_2_val + LREAL + 64 + 1216 - conditionalshow + ItemType + Output - - - - __FB_IPCDIAG_READPARAMETER__READTCVERSION__NSTATE - USINT - 8 - 21960 - - conditionalshow + pytmc + + pv: FWM:2 + field: EGU lpm + field: HIGH 2.3 + field: HIHI 3.0 + field: LOW 1.7 + field: LOLO 1.5 + field: LSV MINOR + field: LLSV MAJOR + field: HSV MINOR + field: HHSV MAJOR + io: i + - __FB_IPCDIAG_READPARAMETER__READTCVERSION__NMAJOR + fbFlow_2 + FB_AnalogInput + 512 + 1280 + + + + PouType + FunctionBlock + + + + + VERSION + 64 + + uiMajor UINT 16 - 21968 - - - conditionalshow - - + 0 - __FB_IPCDIAG_READPARAMETER__READTCVERSION__NMINOR + uiMinor UINT 16 - 21984 - - - conditionalshow - - + 16 - __FB_IPCDIAG_READPARAMETER__READTCVERSION__NBUILD + uiServicePack UINT 16 - 22000 - - - conditionalshow - - + 32 - __FB_IPCDIAG_READPARAMETER__READTCVERSION__NREVISION + uiPatch UINT 16 - 22016 - - - conditionalshow - - + 48 + + + PlcLicenseInfo + 1024 - __FB_IPCDIAG_READPARAMETER__READTCVERSION__HR - HRESULT + LicenseId + GUID + 128 + 0 + + + Instances + UDINT 32 - 22048 - - - conditionalshow - - + 128 + + + LicenseName + STRING(95) + 768 + 256 + + + + TcSystemEventClass + TcSystemEventClass + + InternalError + Internal error. + Error + + + NoRTime + No real-time. + Error + + + AllocationLockedMemoryError + Allocation locked – memory error. + Error + + + InsertMailboxError + Mailbox full – the ADS message could not be sent. Reducing the number of ADS messages per cycle will help. + Error + + + WrongReceiveHMSG + Wrong HMSG. + Error + + + TargetPortNotFound + Target port not found – ADS server is not started or is not reachable. + Error + + + TargetMachineNotFound + Target computer not found – AMS route was not found. + Error + + + UnknownCommandID + Unknown command ID. + Error + + + BadTaskID + Invalid task ID. + Error + + + NoIO + No IO. + Error + + + UnknownAdsCommand + Unknown AMS command. + Error + + + Win32Error + Win32 error. + Error + + + PortNotConnected + Port not connected. + Error + + + InvalidAdsLength + Invalid AMS length. + Error + + + InvalidAdsNetID + Invalid AMS Net ID. + Error + + + LowInstallationLevel + Low installation level – TwinCAT 2 license error. + Error + + + NoDebugAvailable + No debugging available. + Error + + + PortDisabled + Port disabled – TwinCAT system service not started. + Error + + + PortAlreadyConnected + Port already connected. + Error + + + AdsSyncWin32Error + AMS Sync Win32 error. + Error + + + AdsSyncTimeout + AMS Sync Timeout. + Error + + + AdsSyncAmsError + AMS Sync error. + Error + + + AdsSyncNoIndexMap + No index map for AMS Sync available. + Error + + + InvalidAdsPort + Invalid AMS port. + Error + + + NoMemory + No memory. + Error + + + TCPSendError + TCP send error. + Error + + + HostUnreachable + Host unreachable. + Error + + + InvalidAMSFragment + Invalid AMS fragment. + Error + + + AdsSecTLSSendError + TLS send error – secure ADS connection failed. + Error + + + AdsSecAccessDenied + Access denied – secure ADS access denied. + Error + + + + Interner Fehler. + + + Keine Echtzeit. + + + Zuweisung gesperrt - Speicherfehler. + + + Postfach voll – Es konnte die ADS Nachricht nicht versendet werden. Reduzieren der Anzahl der ADS Nachrichten pro Zyklus bringt Abhilfe. + + + Falsches HMSG. + + + Ziel-Port nicht gefunden – ADS Server ist nicht gestartet oder erreichbar. + + + Unbekannte Befehl-ID. + + + Ungültige Task-ID. + + + Kein IO. + + + Unbekannter AMS-Befehl. + + + Win32 Fehler. + + + Port nicht verbunden. + + + Ungültige AMS-Länge. + + + Ungültige AMS Net ID. + + + Installations-Level ist zu niedrig – TwinCAT 2 Lizenzfehler. + + + Kein Debugging verfügbar. + + + Port deaktiviert – TwinCAT System Service nicht gestartet. + + + Port bereits verbunden. + + + AMS Sync Win32 Fehler. + + + AMS Sync Timeout. + + + AMS Sync Fehler. + + + Keine Index-Map für AMS Sync vorhanden. + + + Ungültiger AMS-Port. + + + Kein Speicher. + + + TCP Sendefehler. + + + Host nicht erreichbar. + + + Ungültiges AMS Fragment. + + + TLS Sendefehler – Secure ADS Verbindung fehlgeschlagen. + + + Zugriff Verweigert – Secure ADS Zugriff verweigert. + + + + + + + + + + + ST_TcSystemEventClass + 5760 + + InternalError + TcEventEntry + 192 + 0 + + + .uuidEventClass.Data1 + 3140131225 + + + .uuidEventClass.Data2 + 4142 + + + .uuidEventClass.Data3 + 16922 + + + .uuidEventClass.Data4[0] + 141 + + + .uuidEventClass.Data4[1] + 61 + + + .uuidEventClass.Data4[2] + 176 + + + .uuidEventClass.Data4[3] + 102 + + + .uuidEventClass.Data4[4] + 14 + + + .uuidEventClass.Data4[5] + 7 + + + .uuidEventClass.Data4[6] + 177 + + + .uuidEventClass.Data4[7] + 254 + + + .nEventID + E_TcSystemEventClass.InternalError + + + .eSeverity + TcEventSeverity.Error + + + + + NoRTime + TcEventEntry + 192 + 192 + + + .uuidEventClass.Data1 + 3140131225 + + + .uuidEventClass.Data2 + 4142 + + + .uuidEventClass.Data3 + 16922 + + + .uuidEventClass.Data4[0] + 141 + + + .uuidEventClass.Data4[1] + 61 + + + .uuidEventClass.Data4[2] + 176 + + + .uuidEventClass.Data4[3] + 102 + + + .uuidEventClass.Data4[4] + 14 + + + .uuidEventClass.Data4[5] + 7 + + + .uuidEventClass.Data4[6] + 177 + + + .uuidEventClass.Data4[7] + 254 + + + .nEventID + E_TcSystemEventClass.NoRTime + + + .eSeverity + TcEventSeverity.Error + + + + + AllocationLockedMemoryError + TcEventEntry + 192 + 384 + + + .uuidEventClass.Data1 + 3140131225 + + + .uuidEventClass.Data2 + 4142 + + + .uuidEventClass.Data3 + 16922 + + + .uuidEventClass.Data4[0] + 141 + + + .uuidEventClass.Data4[1] + 61 + + + .uuidEventClass.Data4[2] + 176 + + + .uuidEventClass.Data4[3] + 102 + + + .uuidEventClass.Data4[4] + 14 + + + .uuidEventClass.Data4[5] + 7 + + + .uuidEventClass.Data4[6] + 177 + + + .uuidEventClass.Data4[7] + 254 + + + .nEventID + E_TcSystemEventClass.AllocationLockedMemoryError + + + .eSeverity + TcEventSeverity.Error + + - __FB_IPCDIAG_READPARAMETER__READTCVERSION__I - USINT - 8 - 22080 - - - conditionalshow - - + InsertMailboxError + TcEventEntry + 192 + 576 + + + .uuidEventClass.Data1 + 3140131225 + + + .uuidEventClass.Data2 + 4142 + + + .uuidEventClass.Data3 + 16922 + + + .uuidEventClass.Data4[0] + 141 + + + .uuidEventClass.Data4[1] + 61 + + + .uuidEventClass.Data4[2] + 176 + + + .uuidEventClass.Data4[3] + 102 + + + .uuidEventClass.Data4[4] + 14 + + + .uuidEventClass.Data4[5] + 7 + + + .uuidEventClass.Data4[6] + 177 + + + .uuidEventClass.Data4[7] + 254 + + + .nEventID + E_TcSystemEventClass.InsertMailboxError + + + .eSeverity + TcEventSeverity.Error + + + + + WrongReceiveHMSG + TcEventEntry + 192 + 768 + + + .uuidEventClass.Data1 + 3140131225 + + + .uuidEventClass.Data2 + 4142 + + + .uuidEventClass.Data3 + 16922 + + + .uuidEventClass.Data4[0] + 141 + + + .uuidEventClass.Data4[1] + 61 + + + .uuidEventClass.Data4[2] + 176 + + + .uuidEventClass.Data4[3] + 102 + + + .uuidEventClass.Data4[4] + 14 + + + .uuidEventClass.Data4[5] + 7 + + + .uuidEventClass.Data4[6] + 177 + + + .uuidEventClass.Data4[7] + 254 + + + .nEventID + E_TcSystemEventClass.WrongReceiveHMSG + + + .eSeverity + TcEventSeverity.Error + + - - ipMemMan - I_DynMem_Manager - dynamic memory manager used in the Tc3_IPCDiag library - 64 - 164008160 - - - Clear - HRESULT - 32 - - - GetFreeSpaceOfVol - HRESULT - 32 - - pBuffer - parameter buffer with a given size of nBufferSize - PVOID - 64 - - - nBufferSize - buffer size in bytes (for one or more values) - UDINT - 32 - - - - __getipMemMan - I_DynMem_Manager - 64 - - ipMemMan - I_DynMem_Manager - 64 - - - - property - - - - - GetMDPVersion - HRESULT - 32 - - pBuffer - parameter buffer with a given size of nBufferSize - PVOID - 64 - - - nBufferSize - buffer size in bytes (for one or more values) - UDINT - 32 - - - nLen - UINT - 16 - - - - GetTCVersion - HRESULT - 32 - - pBuffer - parameter buffer with a given size of nBufferSize - PVOID - 64 - - - nBufferSize - buffer size in bytes (for one or more values) - UDINT - 32 - - - nLen - UINT - 16 - - - - GetParameterStrings - | access a read string parameter with multiple values. -| If more than one value is available all values can be copied to an ARRAY OF STRING at once. - HRESULT - 32 - - pBuffer - parameter buffer with a given size of nBufferSize - PVOID - 64 - - - nBufferSize - buffer size in bytes (for one or more values) - UDINT - 32 - - - nStrings - number of strings to be copied (each string with buffer size=nBufferSize/nStrings) - USINT - 8 - - - - ReadFreeSpaceOfVol - - nState - USINT - 8 - - - uselocation - __FB_IPCDIAG_READPARAMETER__READFREESPACEOFVOL__NSTATE - - - - - nNoVolumes - USINT - 8 - - - uselocation - __FB_IPCDIAG_READPARAMETER__READFREESPACEOFVOL__NNOVOLUMES - - - - - sDriveLetter - STRING(7) - 64 - - - uselocation - __FB_IPCDIAG_READPARAMETER__READFREESPACEOFVOL__SDRIVELETTER - - - - - nFoundIdx - USINT - 8 - - - uselocation - __FB_IPCDIAG_READPARAMETER__READFREESPACEOFVOL__NFOUNDIDX - - - - - hr - HRESULT - 32 - - - uselocation - __FB_IPCDIAG_READPARAMETER__READFREESPACEOFVOL__HR - - - - - i - USINT - 8 - - - uselocation - __FB_IPCDIAG_READPARAMETER__READFREESPACEOFVOL__I - - - - - - ReadMDPVersion - - nState - USINT - 8 - - - uselocation - __FB_IPCDIAG_READPARAMETER__READMDPVERSION__NSTATE - - - - - nNoSoftwares - USINT - 8 - - - uselocation - __FB_IPCDIAG_READPARAMETER__READMDPVERSION__NNOSOFTWARES - - - - - sSWName - STRING(79) - 640 - - - uselocation - __FB_IPCDIAG_READPARAMETER__READMDPVERSION__SSWNAME - - - - - nFoundIdx - USINT - 8 - - - uselocation - __FB_IPCDIAG_READPARAMETER__READMDPVERSION__NFOUNDIDX - - - - - hr - HRESULT - 32 - - - uselocation - __FB_IPCDIAG_READPARAMETER__READMDPVERSION__HR - - - - - i - USINT - 8 - - - uselocation - __FB_IPCDIAG_READPARAMETER__READMDPVERSION__I - - - - - - GetParameterByIdx - access a read parameter by index specification - HRESULT - 32 - - pBuffer - parameter buffer with a given size of nBufferSize - PVOID - 64 - - - nBufferSize - buffer size in bytes (for one parameter value) - UDINT - 32 - - - nParameterIdx - selection of parameter value (1..nReadParameterValues) (e.g. equals list index in case of list parameter) - USINT (1..255) - 8 - - - - ReadTCVersion - - nState - USINT - 8 - - - uselocation - __FB_IPCDIAG_READPARAMETER__READTCVERSION__NSTATE - - - - - nMajor - UINT - 16 - - - uselocation - __FB_IPCDIAG_READPARAMETER__READTCVERSION__NMAJOR - - - - - nMinor - UINT - 16 - - - uselocation - __FB_IPCDIAG_READPARAMETER__READTCVERSION__NMINOR - - - - - nBuild - UINT - 16 - - - uselocation - __FB_IPCDIAG_READPARAMETER__READTCVERSION__NBUILD - - - - - nRevision - UINT - 16 - - - uselocation - __FB_IPCDIAG_READPARAMETER__READTCVERSION__NREVISION - - - - - hr - HRESULT - 32 - - - uselocation - __FB_IPCDIAG_READPARAMETER__READTCVERSION__HR - - - - - i - USINT - 8 - - - uselocation - __FB_IPCDIAG_READPARAMETER__READTCVERSION__I - - - - - - GetParameter - access a read parameter (if more than one value is available all values can be copied at once, except for STRING types) - HRESULT - 32 - - pBuffer - parameter buffer with a given size of nBufferSize - PVOID - 64 - - - nBufferSize - buffer size in bytes (for one or more values) - UDINT - 32 - - - - - PouType - FunctionBlock - - - conditionalshow_all_locals - - - - - FB_Standard_PMPSDB - 98368 - io_fbFFHWO - FB_HardwareFFOutput - The fast fault output to fault to. - 64 - 64 - - - ItemType - InOut - - + TargetPortNotFound + TcEventEntry + 192 + 960 + + + .uuidEventClass.Data1 + 3140131225 + + + .uuidEventClass.Data2 + 4142 + + + .uuidEventClass.Data3 + 16922 + + + .uuidEventClass.Data4[0] + 141 + + + .uuidEventClass.Data4[1] + 61 + + + .uuidEventClass.Data4[2] + 176 + + + .uuidEventClass.Data4[3] + 102 + + + .uuidEventClass.Data4[4] + 14 + + + .uuidEventClass.Data4[5] + 7 + + + .uuidEventClass.Data4[6] + 177 + + + .uuidEventClass.Data4[7] + 254 + + + .nEventID + E_TcSystemEventClass.TargetPortNotFound + + + .eSeverity + TcEventSeverity.Error + + - bEnable - BOOL - If TRUE, FB will run. Reads when enable goes TRUE. - 8 - 128 - - - ItemType - Input - - + TargetMachineNotFound + TcEventEntry + 192 + 1152 + + + .uuidEventClass.Data1 + 3140131225 + + + .uuidEventClass.Data2 + 4142 + + + .uuidEventClass.Data3 + 16922 + + + .uuidEventClass.Data4[0] + 141 + + + .uuidEventClass.Data4[1] + 61 + + + .uuidEventClass.Data4[2] + 176 + + + .uuidEventClass.Data4[3] + 102 + + + .uuidEventClass.Data4[4] + 14 + + + .uuidEventClass.Data4[5] + 7 + + + .uuidEventClass.Data4[6] + 177 + + + .uuidEventClass.Data4[7] + 254 + + + .nEventID + E_TcSystemEventClass.TargetMachineNotFound + + + .eSeverity + TcEventSeverity.Error + + - sPlcName - STRING(80) - E.g. lfe-motion - 648 - 136 - - - ItemType - Input - - + UnknownCommandID + TcEventEntry + 192 + 1344 + + + .uuidEventClass.Data1 + 3140131225 + + + .uuidEventClass.Data2 + 4142 + + + .uuidEventClass.Data3 + 16922 + + + .uuidEventClass.Data4[0] + 141 + + + .uuidEventClass.Data4[1] + 61 + + + .uuidEventClass.Data4[2] + 176 + + + .uuidEventClass.Data4[3] + 102 + + + .uuidEventClass.Data4[4] + 14 + + + .uuidEventClass.Data4[5] + 7 + + + .uuidEventClass.Data4[6] + 177 + + + .uuidEventClass.Data4[7] + 254 + + + .nEventID + E_TcSystemEventClass.UnknownCommandID + + + .eSeverity + TcEventSeverity.Error + + - bRefresh - BOOL - Set to TRUE to cause an extra read. - 8 - 784 - - - ItemType - Input - - - pytmc - - pv: REFRESH - io: io - - - + BadTaskID + TcEventEntry + 192 + 1536 + + + .uuidEventClass.Data1 + 3140131225 + + + .uuidEventClass.Data2 + 4142 + + + .uuidEventClass.Data3 + 16922 + + + .uuidEventClass.Data4[0] + 141 + + + .uuidEventClass.Data4[1] + 61 + + + .uuidEventClass.Data4[2] + 176 + + + .uuidEventClass.Data4[3] + 102 + + + .uuidEventClass.Data4[4] + 14 + + + .uuidEventClass.Data4[5] + 7 + + + .uuidEventClass.Data4[6] + 177 + + + .uuidEventClass.Data4[7] + 254 + + + .nEventID + E_TcSystemEventClass.BadTaskID + + + .eSeverity + TcEventSeverity.Error + + + + + NoIO + TcEventEntry + 192 + 1728 + + + .uuidEventClass.Data1 + 3140131225 + + + .uuidEventClass.Data2 + 4142 + + + .uuidEventClass.Data3 + 16922 + + + .uuidEventClass.Data4[0] + 141 + + + .uuidEventClass.Data4[1] + 61 + + + .uuidEventClass.Data4[2] + 176 + + + .uuidEventClass.Data4[3] + 102 + + + .uuidEventClass.Data4[4] + 14 + + + .uuidEventClass.Data4[5] + 7 + + + .uuidEventClass.Data4[6] + 177 + + + .uuidEventClass.Data4[7] + 254 + + + .nEventID + E_TcSystemEventClass.NoIO + + + .eSeverity + TcEventSeverity.Error + + + + + UnknownAdsCommand + TcEventEntry + 192 + 1920 + + + .uuidEventClass.Data1 + 3140131225 + + + .uuidEventClass.Data2 + 4142 + + + .uuidEventClass.Data3 + 16922 + + + .uuidEventClass.Data4[0] + 141 + + + .uuidEventClass.Data4[1] + 61 + + + .uuidEventClass.Data4[2] + 176 + + + .uuidEventClass.Data4[3] + 102 + + + .uuidEventClass.Data4[4] + 14 + + + .uuidEventClass.Data4[5] + 7 + + + .uuidEventClass.Data4[6] + 177 + + + .uuidEventClass.Data4[7] + 254 + + + .nEventID + E_TcSystemEventClass.UnknownAdsCommand + + + .eSeverity + TcEventSeverity.Error + + + + + Win32Error + TcEventEntry + 192 + 2112 + + + .uuidEventClass.Data1 + 3140131225 + + + .uuidEventClass.Data2 + 4142 + + + .uuidEventClass.Data3 + 16922 + + + .uuidEventClass.Data4[0] + 141 + + + .uuidEventClass.Data4[1] + 61 + + + .uuidEventClass.Data4[2] + 176 + + + .uuidEventClass.Data4[3] + 102 + + + .uuidEventClass.Data4[4] + 14 + + + .uuidEventClass.Data4[5] + 7 + + + .uuidEventClass.Data4[6] + 177 + + + .uuidEventClass.Data4[7] + 254 + + + .nEventID + E_TcSystemEventClass.Win32Error + + + .eSeverity + TcEventSeverity.Error + + + + + PortNotConnected + TcEventEntry + 192 + 2304 + + + .uuidEventClass.Data1 + 3140131225 + + + .uuidEventClass.Data2 + 4142 + + + .uuidEventClass.Data3 + 16922 + + + .uuidEventClass.Data4[0] + 141 + + + .uuidEventClass.Data4[1] + 61 + + + .uuidEventClass.Data4[2] + 176 + + + .uuidEventClass.Data4[3] + 102 + + + .uuidEventClass.Data4[4] + 14 + + + .uuidEventClass.Data4[5] + 7 + + + .uuidEventClass.Data4[6] + 177 + + + .uuidEventClass.Data4[7] + 254 + + + .nEventID + E_TcSystemEventClass.PortNotConnected + + + .eSeverity + TcEventSeverity.Error + + - sDirectory - STRING(80) - Directory where the DB is stored. - 648 - 792 + InvalidAdsLength + TcEventEntry + 192 + 2496 - + + .uuidEventClass.Data1 + 3140131225 + + + .uuidEventClass.Data2 + 4142 + + + .uuidEventClass.Data3 + 16922 + + + .uuidEventClass.Data4[0] + 141 + + + .uuidEventClass.Data4[1] + 61 + + + .uuidEventClass.Data4[2] + 176 + + + .uuidEventClass.Data4[3] + 102 + + + .uuidEventClass.Data4[4] + 14 + + + .uuidEventClass.Data4[5] + 7 + + + .uuidEventClass.Data4[6] + 177 + + + .uuidEventClass.Data4[7] + 254 + + + .nEventID + E_TcSystemEventClass.InvalidAdsLength + + + .eSeverity + TcEventSeverity.Error + - - - ItemType - Input - - - nLastRefreshTime - DINT - 32 - 1440 - - - ItemType - Output - - - pytmc - - pv: LAST_REFRESH - io: i - - - + InvalidAdsNetID + TcEventEntry + 192 + 2688 + + + .uuidEventClass.Data1 + 3140131225 + + + .uuidEventClass.Data2 + 4142 + + + .uuidEventClass.Data3 + 16922 + + + .uuidEventClass.Data4[0] + 141 + + + .uuidEventClass.Data4[1] + 61 + + + .uuidEventClass.Data4[2] + 176 + + + .uuidEventClass.Data4[3] + 102 + + + .uuidEventClass.Data4[4] + 14 + + + .uuidEventClass.Data4[5] + 7 + + + .uuidEventClass.Data4[6] + 177 + + + .uuidEventClass.Data4[7] + 254 + + + .nEventID + E_TcSystemEventClass.InvalidAdsNetID + + + .eSeverity + TcEventSeverity.Error + + - bReadPmpsDb - BOOL - 8 - 1472 - - - ItemType - Output - - + LowInstallationLevel + TcEventEntry + 192 + 2880 + + + .uuidEventClass.Data1 + 3140131225 + + + .uuidEventClass.Data2 + 4142 + + + .uuidEventClass.Data3 + 16922 + + + .uuidEventClass.Data4[0] + 141 + + + .uuidEventClass.Data4[1] + 61 + + + .uuidEventClass.Data4[2] + 176 + + + .uuidEventClass.Data4[3] + 102 + + + .uuidEventClass.Data4[4] + 14 + + + .uuidEventClass.Data4[5] + 7 + + + .uuidEventClass.Data4[6] + 177 + + + .uuidEventClass.Data4[7] + 254 + + + .nEventID + E_TcSystemEventClass.LowInstallationLevel + + + .eSeverity + TcEventSeverity.Error + + - bExecute - BOOL - 8 - 1480 + NoDebugAvailable + TcEventEntry + 192 + 3072 + + + .uuidEventClass.Data1 + 3140131225 + + + .uuidEventClass.Data2 + 4142 + + + .uuidEventClass.Data3 + 16922 + + + .uuidEventClass.Data4[0] + 141 + + + .uuidEventClass.Data4[1] + 61 + + + .uuidEventClass.Data4[2] + 176 + + + .uuidEventClass.Data4[3] + 102 + + + .uuidEventClass.Data4[4] + 14 + + + .uuidEventClass.Data4[5] + 7 + + + .uuidEventClass.Data4[6] + 177 + + + .uuidEventClass.Data4[7] + 254 + + + .nEventID + E_TcSystemEventClass.NoDebugAvailable + + + .eSeverity + TcEventSeverity.Error + + - rtEnable - R_TRIG - 128 - 1536 + PortDisabled + TcEventEntry + 192 + 3264 + + + .uuidEventClass.Data1 + 3140131225 + + + .uuidEventClass.Data2 + 4142 + + + .uuidEventClass.Data3 + 16922 + + + .uuidEventClass.Data4[0] + 141 + + + .uuidEventClass.Data4[1] + 61 + + + .uuidEventClass.Data4[2] + 176 + + + .uuidEventClass.Data4[3] + 102 + + + .uuidEventClass.Data4[4] + 14 + + + .uuidEventClass.Data4[5] + 7 + + + .uuidEventClass.Data4[6] + 177 + + + .uuidEventClass.Data4[7] + 254 + + + .nEventID + E_TcSystemEventClass.PortDisabled + + + .eSeverity + TcEventSeverity.Error + + - rtRefresh - R_TRIG - 128 - 1664 + PortAlreadyConnected + TcEventEntry + 192 + 3456 + + + .uuidEventClass.Data1 + 3140131225 + + + .uuidEventClass.Data2 + 4142 + + + .uuidEventClass.Data3 + 16922 + + + .uuidEventClass.Data4[0] + 141 + + + .uuidEventClass.Data4[1] + 61 + + + .uuidEventClass.Data4[2] + 176 + + + .uuidEventClass.Data4[3] + 102 + + + .uuidEventClass.Data4[4] + 14 + + + .uuidEventClass.Data4[5] + 7 + + + .uuidEventClass.Data4[6] + 177 + + + .uuidEventClass.Data4[7] + 254 + + + .nEventID + E_TcSystemEventClass.PortAlreadyConnected + + + .eSeverity + TcEventSeverity.Error + + - ftBusy - F_TRIG - 128 - 1792 + AdsSyncWin32Error + TcEventEntry + 192 + 3648 + + + .uuidEventClass.Data1 + 3140131225 + + + .uuidEventClass.Data2 + 4142 + + + .uuidEventClass.Data3 + 16922 + + + .uuidEventClass.Data4[0] + 141 + + + .uuidEventClass.Data4[1] + 61 + + + .uuidEventClass.Data4[2] + 176 + + + .uuidEventClass.Data4[3] + 102 + + + .uuidEventClass.Data4[4] + 14 + + + .uuidEventClass.Data4[5] + 7 + + + .uuidEventClass.Data4[6] + 177 + + + .uuidEventClass.Data4[7] + 254 + + + .nEventID + E_TcSystemEventClass.AdsSyncWin32Error + + + .eSeverity + TcEventSeverity.Error + + + + + AdsSyncTimeout + TcEventEntry + 192 + 3840 + + + .uuidEventClass.Data1 + 3140131225 + + + .uuidEventClass.Data2 + 4142 + + + .uuidEventClass.Data3 + 16922 + + + .uuidEventClass.Data4[0] + 141 + + + .uuidEventClass.Data4[1] + 61 + + + .uuidEventClass.Data4[2] + 176 + + + .uuidEventClass.Data4[3] + 102 + + + .uuidEventClass.Data4[4] + 14 + + + .uuidEventClass.Data4[5] + 7 + + + .uuidEventClass.Data4[6] + 177 + + + .uuidEventClass.Data4[7] + 254 + + + .nEventID + E_TcSystemEventClass.AdsSyncTimeout + + + .eSeverity + TcEventSeverity.Error + + - fbTime - FB_LocalSystemTime - Time tracking liften from Arbiter PLCs - 20800 - 1920 + AdsSyncAmsError + TcEventEntry + 192 + 4032 - .bEnable - true + .uuidEventClass.Data1 + 3140131225 - .dwCycle - 1 + .uuidEventClass.Data2 + 4142 + + + .uuidEventClass.Data3 + 16922 + + + .uuidEventClass.Data4[0] + 141 + + + .uuidEventClass.Data4[1] + 61 + + + .uuidEventClass.Data4[2] + 176 + + + .uuidEventClass.Data4[3] + 102 + + + .uuidEventClass.Data4[4] + 14 + + + .uuidEventClass.Data4[5] + 7 + + + .uuidEventClass.Data4[6] + 177 + + + .uuidEventClass.Data4[7] + 254 + + + .nEventID + E_TcSystemEventClass.AdsSyncAmsError + + + .eSeverity + TcEventSeverity.Error - fbTime_to_UTC - FB_TzSpecificLocalTimeToSystemTime - 3648 - 22720 - - - fbGetTimeZone - FB_GetTimeZoneInformation - 3776 - 26368 - - - fbIPCReg - FB_IPCDiag_Register - 45376 - 30144 - - - fbCheckOS - FB_IPCDiag_ReadParameter - 22144 - 75520 - - - sOSName - STRING(80) - 648 - 97664 + AdsSyncNoIndexMap + TcEventEntry + 192 + 4224 - + + .uuidEventClass.Data1 + 3140131225 + + + .uuidEventClass.Data2 + 4142 + + + .uuidEventClass.Data3 + 16922 + + + .uuidEventClass.Data4[0] + 141 + + + .uuidEventClass.Data4[1] + 61 + + + .uuidEventClass.Data4[2] + 176 + + + .uuidEventClass.Data4[3] + 102 + + + .uuidEventClass.Data4[4] + 14 + + + .uuidEventClass.Data4[5] + 7 + + + .uuidEventClass.Data4[6] + 177 + + + .uuidEventClass.Data4[7] + 254 + + + .nEventID + E_TcSystemEventClass.AdsSyncNoIndexMap + + + .eSeverity + TcEventSeverity.Error + - nCheckOSTries - UINT - 16 - 98320 + InvalidAdsPort + TcEventEntry + 192 + 4416 - 3 + + .uuidEventClass.Data1 + 3140131225 + + + .uuidEventClass.Data2 + 4142 + + + .uuidEventClass.Data3 + 16922 + + + .uuidEventClass.Data4[0] + 141 + + + .uuidEventClass.Data4[1] + 61 + + + .uuidEventClass.Data4[2] + 176 + + + .uuidEventClass.Data4[3] + 102 + + + .uuidEventClass.Data4[4] + 14 + + + .uuidEventClass.Data4[5] + 7 + + + .uuidEventClass.Data4[6] + 177 + + + .uuidEventClass.Data4[7] + 254 + + + .nEventID + E_TcSystemEventClass.InvalidAdsPort + + + .eSeverity + TcEventSeverity.Error + - - - PouType - FunctionBlock - - - - - FB_StateSetupHelper - 92352 - - stPositionState - ST_PositionState - 64 - 64 - - - ItemType - InOut - - - - - bSetDefault - BOOL - 8 - 128 - - - ItemType - Input - - - - - bForceUpdate - BOOL - 8 - 136 - - - ItemType - Input - - - - - sName - STRING(80) - 648 - 144 - - - ItemType - Input - - - - - fPosition - LREAL - 64 - 832 - - - ItemType - Input - - - - - nEncoderCount - UDINT - 32 - 896 - - - ItemType - Input - - - - - fDelta - LREAL - 64 - 960 - - - ItemType - Input - - - - - fVelocity - LREAL - 64 - 1024 - - - ItemType - Input - - - - - fAccel - LREAL - 64 - 1088 - - - ItemType - Input - - - - - fDecel - LREAL - 64 - 1152 - - - ItemType - Input - - - - - bMoveOk - BOOL - 8 - 1216 - - - ItemType - Input - - - - - bLocked - BOOL - 8 - 1224 - - - ItemType - Input - - - - - bValid - BOOL - 8 - 1232 - - - ItemType - Input - - - - - bUseRawCounts - BOOL - 8 - 1240 - - - ItemType - Input - - - - sPmpsState - STRING(80) - 648 - 1248 - - - ItemType - Input - - + NoMemory + TcEventEntry + 192 + 4608 + + + .uuidEventClass.Data1 + 3140131225 + + + .uuidEventClass.Data2 + 4142 + + + .uuidEventClass.Data3 + 16922 + + + .uuidEventClass.Data4[0] + 141 + + + .uuidEventClass.Data4[1] + 61 + + + .uuidEventClass.Data4[2] + 176 + + + .uuidEventClass.Data4[3] + 102 + + + .uuidEventClass.Data4[4] + 14 + + + .uuidEventClass.Data4[5] + 7 + + + .uuidEventClass.Data4[6] + 177 + + + .uuidEventClass.Data4[7] + 254 + + + .nEventID + E_TcSystemEventClass.NoMemory + + + .eSeverity + TcEventSeverity.Error + + - stDefault - ST_PositionState - 3648 - 1920 + TCPSendError + TcEventEntry + 192 + 4800 + + + .uuidEventClass.Data1 + 3140131225 + + + .uuidEventClass.Data2 + 4142 + + + .uuidEventClass.Data3 + 16922 + + + .uuidEventClass.Data4[0] + 141 + + + .uuidEventClass.Data4[1] + 61 + + + .uuidEventClass.Data4[2] + 176 + + + .uuidEventClass.Data4[3] + 102 + + + .uuidEventClass.Data4[4] + 14 + + + .uuidEventClass.Data4[5] + 7 + + + .uuidEventClass.Data4[6] + 177 + + + .uuidEventClass.Data4[7] + 254 + + + .nEventID + E_TcSystemEventClass.TCPSendError + + + .eSeverity + TcEventSeverity.Error + + - fbWarning - FB_LogMessage - 86080 - 5568 + HostUnreachable + TcEventEntry + 192 + 4992 + + + .uuidEventClass.Data1 + 3140131225 + + + .uuidEventClass.Data2 + 4142 + + + .uuidEventClass.Data3 + 16922 + + + .uuidEventClass.Data4[0] + 141 + + + .uuidEventClass.Data4[1] + 61 + + + .uuidEventClass.Data4[2] + 176 + + + .uuidEventClass.Data4[3] + 102 + + + .uuidEventClass.Data4[4] + 14 + + + .uuidEventClass.Data4[5] + 7 + + + .uuidEventClass.Data4[6] + 177 + + + .uuidEventClass.Data4[7] + 254 + + + .nEventID + E_TcSystemEventClass.HostUnreachable + + + .eSeverity + TcEventSeverity.Error + + - bHasDefault - BOOL - 8 - 91648 + InvalidAMSFragment + TcEventEntry + 192 + 5184 + + + .uuidEventClass.Data1 + 3140131225 + + + .uuidEventClass.Data2 + 4142 + + + .uuidEventClass.Data3 + 16922 + + + .uuidEventClass.Data4[0] + 141 + + + .uuidEventClass.Data4[1] + 61 + + + .uuidEventClass.Data4[2] + 176 + + + .uuidEventClass.Data4[3] + 102 + + + .uuidEventClass.Data4[4] + 14 + + + .uuidEventClass.Data4[5] + 7 + + + .uuidEventClass.Data4[6] + 177 + + + .uuidEventClass.Data4[7] + 254 + + + .nEventID + E_TcSystemEventClass.InvalidAMSFragment + + + .eSeverity + TcEventSeverity.Error + + - bHasWarned - BOOL - 8 - 91656 + AdsSecTLSSendError + TcEventEntry + 192 + 5376 + + + .uuidEventClass.Data1 + 3140131225 + + + .uuidEventClass.Data2 + 4142 + + + .uuidEventClass.Data3 + 16922 + + + .uuidEventClass.Data4[0] + 141 + + + .uuidEventClass.Data4[1] + 61 + + + .uuidEventClass.Data4[2] + 176 + + + .uuidEventClass.Data4[3] + 102 + + + .uuidEventClass.Data4[4] + 14 + + + .uuidEventClass.Data4[5] + 7 + + + .uuidEventClass.Data4[6] + 177 + + + .uuidEventClass.Data4[7] + 254 + + + .nEventID + E_TcSystemEventClass.AdsSecTLSSendError + + + .eSeverity + TcEventSeverity.Error + + - sJson - STRING(80) - 648 - 91664 + AdsSecAccessDenied + TcEventEntry + 192 + 5568 + + + .uuidEventClass.Data1 + 3140131225 + + + .uuidEventClass.Data2 + 4142 + + + .uuidEventClass.Data3 + 16922 + + + .uuidEventClass.Data4[0] + 141 + + + .uuidEventClass.Data4[1] + 61 + + + .uuidEventClass.Data4[2] + 176 + + + .uuidEventClass.Data4[3] + 102 + + + .uuidEventClass.Data4[4] + 14 + + + .uuidEventClass.Data4[5] + 7 + + + .uuidEventClass.Data4[6] + 177 + + + .uuidEventClass.Data4[7] + 254 + + + .nEventID + E_TcSystemEventClass.AdsSecAccessDenied + + + .eSeverity + TcEventSeverity.Error + + - PouType - FunctionBlock + TcTypeSystem + + + signature_flag + 33554432 + + + checksuperglobal + + + show + + + no-analysis + + + TcEventClass + TcSystemEventClass - ST_StateEpicsToPlc - 32 - - nSetValue - UINT - For internal use only. This holds new goal positions as an integer, else it is 0 if there is no new state move request. It is written to from the user's input enum. - 16 - 0 - - - bReset - BOOL - Set this to TRUE to acknowledge and clear an error. - 8 - 16 - - - pytmc - - pv: RESET - io: io - field: ZNAM False - field: ONAM True - - - - - - - ST_StatePMPSEpicsToPlc - 16 - - bArbiterEnabled - BOOL - User setting: TRUE to enable the arbiter, FALSE to disable it. - 8 - 0 - - true - - - - pytmc - - pv: PMPS:ARB:ENABLE - io: io - - - - - - bMaintMode - BOOL - User setting: TRUE to enable maintenance mode (Fast fault, free motion), FALSE to disable it. - 8 - 8 - - - pytmc - - pv: PMPS:MAINT - io: io - - - - - - - ST_StatePlcToEpics - 768 - - nGetValue - UINT - For internal use only. This holds the current position index as an integer, else it is 0 if we are changing states or not at any particular state. - 16 - 0 - - - bBusy - BOOL - This will be TRUE when we are in an active state move and FALSE otherwise. - 8 - 16 - - - pytmc - - pv: BUSY - io: i - field: ZNAM False - field: ONAM True - - - - - - bDone - BOOL - This will be TRUE after a move completes and FALSE otherwise. - 8 - 24 - - - pytmc - - pv: DONE - io: i - field: ZNAM False - field: ONAM True - - - - - - bError - BOOL - This will be TRUE if the most recent move had an error and FALSE otherwise. - 8 - 32 - - - pytmc - - pv: ERR - io: i - field: ZNAM False - field: ONAM True - - - - - - nErrorID - UDINT - This will be set to an NC error code during an error if one exists or left at 0 otherwise. - 32 - 64 - - - pytmc - - pv: ERRID - io: i - - - - - - sErrorMsg - STRING(80) - This will be set to an appropriate error message during an error if one exists or left as an empty string otherwise. - 648 - 96 - - - pytmc - - pv: ERRMSG - io: i - - - - + TcGeneralAdsEventClass + TcGeneralAdsEventClass + + GeneralDeviceError + General device error. + Error + + + ServiceNotSupported + Service is not supported by the server. + Error + + + InvalidIndexGroup + Invalid index group. + Error + + + InvalidIndexOffset + Invalid index offset. + Error + + + InvalidAccess + Reading or writing is not permitted. + Error + + + InvalidSize + Parameter size is not correct. + Error + + + InvalidData + Invalid data value(s). + Error + + + NotReady + Device is not ready to operate. + Error + + + Busy + Device is busy. + Error + + + InvalidContext + Invalid operating system context. This can result from use of ADS function blocks in different tasks. + Error + + + NoMemory + Insufficient memory. + Error + + + InvalidParam + Invalid parameter value(s). + Error + + + NotFound + Not found (files, ...). + Error + + + Syntax + Syntax error in file or command. + Error + + + Incompatible + Objects do not match. + Error + + + AlreadyExists + Object already exists. + Error + + + SymbolNotFound + Symbol was not found. + Error + + + SymbolVersionInvalid + Invalid symbol version. This can occur due to an online change. Create a new handle. + Error + + + InvalidState + Device (server) is in invalid state. + Error + + + TransModeNotSupported + AdsTransMode is not supported. + Error + + + NotificationHandleInvalid + Notification handle is invalid. + Error + + + ClientUnknown + Notification client is not registered. + Error + + + NoMoreHandles + No further notification handles. + Error + + + InvalidWatchSize + Notification size too large. + Error + + + NotInit + Device is not initialized. + Error + + + DeviceTimeout + Device has a timeout. + Error + + + NoInterface + Interface query failed. + Error + + + InvalidInterface + Wrong interface is requested. + Error + + + InvalidClassID + Class ID is invalid. + Error + + + InvalidObjectID + Object ID is invalid. + Error + + + Pending + Request pending. + Error + + + Aborted + Request is aborted. + Error + + + SignalWarning + Signal warning. + Error + + + InvalidArrayIndex + Invalid array index. + Error + + + SymbolNotActive + Symbol is not active. + Error + + + AccessDenied + Access denied. + Error + + + LicenseMissing + Missing license. + Error + + + LicenseExpired + License is expired. + Error + + + LicenseExceeded + License is exceeded. + Error + + + LicenseInvalid + License is invalid. + Error + + + LicenseSystemID + License problem: System ID is invalid. + Error + + + LicenseNoTimeLimit + License not limited in time. + Error + + + LicenseFutureIssue + License problem: Time in the future. + Error + + + LicenseTimeTooLong + License time period is too long. + Error + + + Exception + Exception at system startup. + Error + + + LicenseDuplicated + License file is read twice. + Error + + + SignatureInvalid + Invalid signature. + Error + + + CertificateInvalid + Invalid certificate. + Error + + + LicenseOEMNotFound + Public key not known from OEM. + Error + + + LicenseRestricted + License not valid for this system ID. + Error + + + LicenseDemoDenied + Demo license prohibited. + Error + + + InvalidFunctionID + Invalid function ID. + Error + + + OutOfRange + Outside the valid range. + Error + + + InvalidAlignment + Invalid alignment. + Error + + + LicensePlatform + Invalid platform level. + Error + + + ForwardPassiveLevel + Context – forward to passive level. + Error + + + ForwardDispatchLevel + Context – forward to dispatch level. + Error + + + ForwardRealTime + Context – forward to real-time. + Error + + + InvalidServiceParam + Service contains an invalid parameter. + Error + + + ListEmpty + Polling list is empty. + Error + + + VariableConAlreadyInUse + Variable connection is already in use. + Error + + + InvokeIDAlreadyInUse + Invoke ID is already in use. + Error + + + TimeoutElapsed + Timeout has occurred – the remote terminal is not responding in the specified ADS timeout. + Error + + + Win32Error + Error in Win32 subsystem + Error + + + TimeoutInvalid + Invalid client timeout value. + Error + + + PortNotOpen + Port is not open. + Error + + + NoAMSAddr + No AMS address. + Error + + + SyncInternalError + Internal error in Ads sync. + Error + + + AddHash + Hash table overflow. + Error + + + RemoveHash + Key not found in hash table. + Error + + + NoMoreSymbols + No symbols in the cache. + Error + + + SyncResInvalid + Invalid response received + Error + + + SyncPortLocked + Sync port is locked. + Error + + + + Allgemeiner Gerätefehler. + + + Service wird vom Server nicht unterstützt. + + + Ungültige Index-Gruppe. + + + Ungültiger Index-Offset. + + + Lesen oder Schreiben ist nicht gestattet. + + + Parametergröße ist nicht korrekt. + + + Ungültige Daten-Werte. + + + Gerät ist nicht betriebsbereit. + + + Gerät ist beschäftigt. + + + Ungültiger Kontext vom Betriebssystem. Kann durch Verwendung von ADS Bausteinen in unterschiedlichen Tasks auftreten. + + + Nicht genügend Speicher. + + + Ungültige Parameter-Werte. + + + Nicht gefunden (Dateien,...). + + + Syntax-Fehler in Datei oder Befehl. + + + Objekte stimmen nicht überein. + + + Objekt ist bereits vorhanden. + + + Symbol nicht gefunden. + + + Symbol-Version ist ungültig. Kann durch einen Online-Change auftreten. Erzeuge einen neuen Handle. + + + Gerät (Server) ist im ungültigen Zustand. + + + AdsTransMode wird nicht unterstützt. + + + Notification Handle ist ungültig. + + + Notification-Client nicht registriert. + + + Keine weiteren Notification Handles. + + + Größe der Notification zu groß. + + + Gerät ist nicht initialisiert. + + + Gerät hat einen Timeout. + + + Interface Abfrage fehlgeschlagen. + + + Falsches Interface angefordert. + + + Class-ID ist ungültig. + + + Object-ID ist ungültig. + + + Anforderung steht aus. + + + Anforderung wird abgebrochen. + + + Signal-Warnung. + + + Ungültiger Array-Index. + + + Symbol ist nicht aktiv. + + + Zugriff verweigert. + + + Fehlende Lizenz. + + + Lizenz abgelaufen. + + + Lizenz überschritten. + + + Lizenz ungültig. + + + Lizenzproblem: System-ID ist ungültig. + + + Lizenz nicht zeitlich begrenzt. + + + Lizenzproblem: Zeitpunkt in der Zukunft. + + + Lizenz-Zeitraum ist zu lang. + + + Exception beim Systemstart. + + + Lizenz-Datei zweimal gelesen. + + + Ungültige Signatur. + + + Zertifikat ungültig. + + + Public Key vom OEM nicht bekannt. + + + Lizenz nicht gültig für diese System-ID. + + + Demo-Lizenz untersagt. + + + Funktions-ID ungültig. + + + Außerhalb des gültigen Bereiches. + + + Ungültiges Alignment. + + + Ungültiger Plattform Level. + + + Kontext – Weiterleitung zum Passiv-Level. + + + Kontext – Weiterleitung zum Dispatch-Level. + + + Kontext – Weiterleitung zur Echtzeit. + + + Dienst enthält einen ungültigen Parameter. + + + Polling-Liste ist leer. + + + Variablen-Verbindung bereits im Einsatz. + + + Die Invoke-ID ist bereits in Benutzung. + + + Timeout ist aufgetreten – Die Gegenstelle antwortet nicht im vorgegebenen ADS Timeout. + + + Fehler im Win32 Subsystem. + + + Ungültiger Client Timeout-Wert. + + + Port nicht geöffnet. + + + Keine AMS Adresse. + + + Interner Fehler in Ads-Sync. + + + Überlauf der Hash-Tabelle. + + + Schlüssel in der Hash-Tabelle nicht gefunden. + + + Keine Symbole im Cache. + + + Ungültige Antwort erhalten. + + + Sync Port ist verriegelt. + + + + + + + + + + + - ST_StatePMPSPlcToEpics - 2496 + ST_TcGeneralAdsEventClass + 14016 - stTransitionDb - ST_DbStateParams - The database entry for the transition state. This should always be present. - 2496 + GeneralDeviceError + TcEventEntry + 192 0 - - - pytmc - - pv: PMPS:TRANS - io: i - - - - - - - FB_StatesInputHandler - 384 - - stUserInput - ST_StateEpicsToPlc - The user's inputs from EPICS. This is an IN_OUT variable because we will write values back to this to help us detect when the same value is re-caput - 64 - 64 - - - ItemType - InOut - - - - - bMoveBusy - BOOL - The bBusy boolean from the motion FB - 8 - 128 - - - ItemType - Input - - - - - nStartingState - UINT - The starting state number to seed nCurrGoal with - 16 - 144 - - - ItemType - Input - - - - - bMoveError - BOOL - TRUE if we have a move error, to prevent moves - 8 - 160 - - - ItemType - Input - - - - - nCurrGoal - UINT - The goal index to input to the motion FB. This will be clamped to the range 0..GeneralConstants.MAX_STATES - 16 - 176 - - - ItemType - Output - - - - - bExecMove - BOOL - The bExecute boolean to input to the motion FB - 8 - 192 - - - ItemType - Output - - - - - bResetMove - BOOL - The bReset boolean to input to the motion FB - 8 - 200 - - - ItemType - Output - - - - - nState - UINT - 16 - 208 - - - bInit - BOOL - 8 - 224 - - - nQueuedGoal - UINT - 16 - 240 - - - bNewMove - BOOL - 8 - 256 - - - nCachedStart - UINT - 16 - 272 - - - IDLE - UINT - 16 - 288 - 0 + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.GeneralDeviceError + + + .eSeverity + TcEventSeverity.Error + - GOING - UINT - 16 - 304 + ServiceNotSupported + TcEventEntry + 192 + 192 - 1 + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.ServiceNotSupported + + + .eSeverity + TcEventSeverity.Error + - WAIT_STOP - UINT - 16 - 320 + InvalidIndexGroup + TcEventEntry + 192 + 384 - 2 - - - - - PouType - FunctionBlock - - - - - FB_PositionStateInternalND - 570496 - - astMotionStage - ST_MotionStage - - 1 - 3 - - All the motors to apply the standard routines to - 64 - 64 - - - ItemType - InOut - - - - - astPositionState - ST_PositionState - - 1 - 3 - - - 1 - 15 - - Each motor's respective position states along its direction - 64 - 128 - - - ItemType - InOut - - - - - afbStateInternal - FB_PositionStateInternal - - 1 - 3 - - - 1 - 15 - - The individual instantiated internal FBs. Must have the same bounds as astPositionState. - 570240 - 192 - - - nIterMotors - DINT - 32 - 570432 - - - nIterStates - DINT - 32 - 570464 - - - - PouType - FunctionBlock - - - - - FB_PositionStateMoveND - 10752 - - astMotionStage - ST_MotionStage - - 1 - 3 - - Array of motors to move together - 64 - 64 - - - ItemType - InOut - - - - - astPositionState - ST_PositionState - - 1 - 3 - - 1D Position states: the current position to send each axis to - 64 - 128 - - - ItemType - InOut - - - - - nActiveMotorCount - UINT - The number of motors we're actually using - 16 - 192 - - - ItemType - Input - - - - - bExecute - BOOL - Start all moves on rising edge, stop all moves on falling edge - 8 - 208 - - - ItemType - Input - - - - - bReset - BOOL - Reset any errors - 8 - 216 - - - ItemType - Input - - + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.InvalidIndexGroup + + + .eSeverity + TcEventSeverity.Error + + - enumMotionRequest - E_MotionRequest - Define behavior for when a move request is interrupted with a new request - 16 - 224 + InvalidIndexOffset + TcEventEntry + 192 + 576 - E_MotionRequest.WAIT + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.InvalidIndexOffset + + + .eSeverity + TcEventSeverity.Error + - - - ItemType - Input - - - - - bAtState - BOOL - TRUE if ALL of the motors are at their goal states - 8 - 240 - - - ItemType - Output - - - - - bBusy - BOOL - TRUE if ANY of this FB's moves are in progress - 8 - 248 - - - ItemType - Output - - - - - bDone - BOOL - TRUE if ALL motors have completed the last move request from this FB - 8 - 256 - - - ItemType - Output - - - bError - BOOL - TRUE if ANY of this FB's moves had an error - 8 - 264 - - - ItemType - Output - - + InvalidAccess + TcEventEntry + 192 + 768 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.InvalidAccess + + + .eSeverity + TcEventSeverity.Error + + - nErrorCount - UINT - How many FBs are erroring - 16 - 272 - - - ItemType - Output - - + InvalidSize + TcEventEntry + 192 + 960 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.InvalidSize + + + .eSeverity + TcEventSeverity.Error + + - nShownError - DINT - Which component is the source of the example/summarized error - 32 - 288 - - - ItemType - Output - - + InvalidData + TcEventEntry + 192 + 1152 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.InvalidData + + + .eSeverity + TcEventSeverity.Error + + - nErrorID - UDINT - One of the error ids - 32 - 320 - - - ItemType - Output - - + NotReady + TcEventEntry + 192 + 1344 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.NotReady + + + .eSeverity + TcEventSeverity.Error + + - sErrorMessage - STRING(80) - The error error message matching the ID - 648 - 352 - - - ItemType - Output - - + Busy + TcEventEntry + 192 + 1536 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.Busy + + + .eSeverity + TcEventSeverity.Error + + - afbPositionStateMove - FB_PositionStateMove - - 1 - 3 - - 1D State movers: FBs to move the motors - 9600 - 1024 + InvalidContext + TcEventEntry + 192 + 1728 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.InvalidContext + + + .eSeverity + TcEventSeverity.Error + + - nIndex - DINT - 32 - 10624 + NoMemory + TcEventEntry + 192 + 1920 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.NoMemory + + + .eSeverity + TcEventSeverity.Error + + - bMotorCountError - BOOL - 8 - 10656 + InvalidParam + TcEventEntry + 192 + 2112 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.InvalidParam + + + .eSeverity + TcEventSeverity.Error + + - nLowerBound - DINT - 32 - 10688 + NotFound + TcEventEntry + 192 + 2304 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.NotFound + + + .eSeverity + TcEventSeverity.Error + + - nUpperBound - DINT - 32 - 10720 + Syntax + TcEventEntry + 192 + 2496 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.Syntax + + + .eSeverity + TcEventSeverity.Error + + - - DoStateMoves - - - CheckCount - - - CombineOutputs - - - - PouType - FunctionBlock - - - - - FB_PositionStateRead - 4096 - stMotionStage - ST_MotionStage - The motor to check the state of - 64 - 64 - - - ItemType - InOut - - + Incompatible + TcEventEntry + 192 + 2688 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.Incompatible + + + .eSeverity + TcEventSeverity.Error + + - astPositionState - ST_PositionState - - 1 - 15 - - The allowed states for this motor - 64 - 128 - - - ItemType - InOut - - + AlreadyExists + TcEventEntry + 192 + 2880 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.AlreadyExists + + + .eSeverity + TcEventSeverity.Error + + - bKnownState - BOOL - TRUE if we're standing still at a known state, or moving within the bounds of a state to another location in the bounds. - 8 - 192 - - - ItemType - Output - - + SymbolNotFound + TcEventEntry + 192 + 3072 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.SymbolNotFound + + + .eSeverity + TcEventSeverity.Error + + - bMovingState - BOOL - TRUE if we're moving to some other state or to another non-state position. - 8 - 200 - - - ItemType - Output - - + SymbolVersionInvalid + TcEventEntry + 192 + 3264 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.SymbolVersionInvalid + + + .eSeverity + TcEventSeverity.Error + + - nPositionIndex - UINT - If we're at a known state, this will be the index in the astPositionState array that matches the state. Otherwise, this will be 0, which is below the bounds of the array, so it cannot be confused with a valid output. - 16 - 208 - - - ItemType - Output - - + InvalidState + TcEventEntry + 192 + 3456 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.InvalidState + + + .eSeverity + TcEventSeverity.Error + + - stCurrentPosition - ST_PositionState - A copy of the details of the current position state, for convenience. This may be a moving state or an unknown state as a placeholder if we are not at a known state. - 3648 - 256 - - - ItemType - Output - - + TransModeNotSupported + TcEventEntry + 192 + 3648 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.TransModeNotSupported + + + .eSeverity + TcEventSeverity.Error + + - abAtPosition - BOOL - - 1 - 15 - - A full description of whether we're at each of our states. This is used in 2D, 3D, etc. to clarify cases where states may overlap in 1D. - 120 - 3904 - - - ItemType - Output - - + NotificationHandleInvalid + TcEventEntry + 192 + 3840 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.NotificationHandleInvalid + + + .eSeverity + TcEventSeverity.Error + + - nIter - UINT - 16 + ClientUnknown + TcEventEntry + 192 4032 - - - - PouType - FunctionBlock - - - - - FB_PositionStateReadND - 12736 - - astMotionStage - ST_MotionStage - - 1 - 3 - - The motors with a combined N-dimensional state - 64 - 64 - - - ItemType - InOut - - + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.ClientUnknown + + + .eSeverity + TcEventSeverity.Error + + - astPositionState - ST_PositionState - - 1 - 3 - - - 1 - 15 - - Each motor's respective position states along its direction - 64 - 128 - - - ItemType - InOut - - + NoMoreHandles + TcEventEntry + 192 + 4224 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.NoMoreHandles + + + .eSeverity + TcEventSeverity.Error + + - nActiveMotorCount - UINT - The number of motors we're actually using - 16 - 192 - - - ItemType - Input - - + InvalidWatchSize + TcEventEntry + 192 + 4416 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.InvalidWatchSize + + + .eSeverity + TcEventSeverity.Error + + - bKnownState - BOOL - TRUE if we're standing still at a known state. - 8 - 208 - - - ItemType - Output - - + NotInit + TcEventEntry + 192 + 4608 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.NotInit + + + .eSeverity + TcEventSeverity.Error + + - bMovingState - BOOL - TRUE if we're moving, there can be no valid state if we are moving. - 8 - 216 - - - ItemType - Output - - + DeviceTimeout + TcEventEntry + 192 + 4800 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.DeviceTimeout + + + .eSeverity + TcEventSeverity.Error + + - nPositionIndex - UINT - If we're at a known state, this will be the state index along the enclosed states arrays. Otherwise, it will be zero, which is below the bounds of the states array. - 16 - 224 - - - ItemType - Output - - + NoInterface + TcEventEntry + 192 + 4992 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.NoInterface + + + .eSeverity + TcEventSeverity.Error + + - bMotorCountError - BOOL - TRUE if the active motor count was invalid - 8 - 240 - - - ItemType - Output - - + InvalidInterface + TcEventEntry + 192 + 5184 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.InvalidInterface + + + .eSeverity + TcEventSeverity.Error + + - abAtPosition - BOOL - - 1 - 15 - - A full description of whether we're at each of our states. This is used to clarify cases where states may overlap. - 120 - 248 - - - ItemType - Output - - + InvalidClassID + TcEventEntry + 192 + 5376 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.InvalidClassID + + + .eSeverity + TcEventSeverity.Error + + - afbPositionStateRead - FB_PositionStateRead - - 1 - 3 - - The individual position state reader function blocks - 12288 - 384 + InvalidObjectID + TcEventEntry + 192 + 5568 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.InvalidObjectID + + + .eSeverity + TcEventSeverity.Error + + - nIter - UINT - 16 - 12672 + Pending + TcEventEntry + 192 + 5760 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.Pending + + + .eSeverity + TcEventSeverity.Error + + - nIter2 - UINT - 16 - 12688 + Aborted + TcEventEntry + 192 + 5952 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.Aborted + + + .eSeverity + TcEventSeverity.Error + + - - CheckCount - - - DoStateReads - - - CombineOutputs - - - - PouType - FunctionBlock - - - - - FB_PositionStateND_Core - 609536 - astMotionStageMax - ST_MotionStage - - 1 - 3 - - All motors to be used in the states move, including blank/uninitialized structs. - 64 - 64 - - - ItemType - InOut - - + SignalWarning + TcEventEntry + 192 + 6144 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.SignalWarning + + + .eSeverity + TcEventSeverity.Error + + - astPositionStateMax - ST_PositionState - - 1 - 3 - - - 1 - 15 - - All position states for all motors, including unused/invalid states. - 64 - 128 - - - ItemType - InOut - - + InvalidArrayIndex + TcEventEntry + 192 + 6336 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.InvalidArrayIndex + + + .eSeverity + TcEventSeverity.Error + + - stEpicsToPlc - ST_StateEpicsToPlc - Normal EPICS inputs, gathered into a single struct - 64 - 192 - - - ItemType - InOut - - + SymbolNotActive + TcEventEntry + 192 + 6528 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.SymbolNotActive + + + .eSeverity + TcEventSeverity.Error + + - stPlcToEpics - ST_StatePlcToEpics - Normal EPICS outputs, gathered into a single struct - 64 - 256 - - - ItemType - InOut - - + AccessDenied + TcEventEntry + 192 + 6720 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.AccessDenied + + + .eSeverity + TcEventSeverity.Error + + - eEnumSet - UINT - Set this to a nonzero value to request a new move. It will be reset to zero every cycle. This should be hooked up to a user's EPICS enum input. - 64 - 320 - - - ItemType - InOut - - + LicenseMissing + TcEventEntry + 192 + 6912 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.LicenseMissing + + + .eSeverity + TcEventSeverity.Error + + - eEnumGet - UINT - The current state index, or zero if we are not at a state. This should be hooked up to a user's EPICS enum output. - 64 - 384 - - - ItemType - InOut - - + LicenseExpired + TcEventEntry + 192 + 7104 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.LicenseExpired + + + .eSeverity + TcEventSeverity.Error + + - bEnable - BOOL - Set this to TRUE to enable input state moves, or FALSE to disable them. - 8 - 448 - - - ItemType - Input - - + LicenseExceeded + TcEventEntry + 192 + 7296 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.LicenseExceeded + + + .eSeverity + TcEventSeverity.Error + + - nActiveMotorCount - UINT - Set this to the number of motors to be included in astMotionStageMax - 16 - 464 - - - ItemType - Input - - + LicenseInvalid + TcEventEntry + 192 + 7488 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.LicenseInvalid + + + .eSeverity + TcEventSeverity.Error + + - nCurrGoal - UINT - The current position index goal, where the motors are supposed to be moving towards. - 16 - 480 - - - ItemType - Output - - + LicenseSystemID + TcEventEntry + 192 + 7680 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.LicenseSystemID + + + .eSeverity + TcEventSeverity.Error + + - fbInput - FB_StatesInputHandler - 384 - 512 + LicenseNoTimeLimit + TcEventEntry + 192 + 7872 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.LicenseNoTimeLimit + + + .eSeverity + TcEventSeverity.Error + + - fbInternal - FB_PositionStateInternalND - 570496 - 896 + LicenseFutureIssue + TcEventEntry + 192 + 8064 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.LicenseFutureIssue + + + .eSeverity + TcEventSeverity.Error + + - fbMove - FB_PositionStateMoveND - 10752 - 571392 + LicenseTimeTooLong + TcEventEntry + 192 + 8256 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.LicenseTimeTooLong + + + .eSeverity + TcEventSeverity.Error + + - fbRead - FB_PositionStateReadND - 12736 - 582144 + Exception + TcEventEntry + 192 + 8448 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.Exception + + + .eSeverity + TcEventSeverity.Error + + - astMoveGoals - ST_PositionState - - 1 - 3 - - 10944 - 594880 + LicenseDuplicated + TcEventEntry + 192 + 8640 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.LicenseDuplicated + + + .eSeverity + TcEventSeverity.Error + + + + + SignatureInvalid + TcEventEntry + 192 + 8832 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.SignatureInvalid + + + .eSeverity + TcEventSeverity.Error + + - stInvalidPos - ST_PositionState - 3648 - 605824 + CertificateInvalid + TcEventEntry + 192 + 9024 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.CertificateInvalid + + + .eSeverity + TcEventSeverity.Error + + - nIterMotor - DINT - 32 - 609472 + LicenseOEMNotFound + TcEventEntry + 192 + 9216 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.LicenseOEMNotFound + + + .eSeverity + TcEventSeverity.Error + + - - - PouType - FunctionBlock - - - - - FB_MotionReadPMPSDBND - 205632 - astPositionState - ST_PositionState - - 1 - 3 - - - 1 - 15 - - Each motor's respective position states along its direction. These will not be modified. - 64 - 64 - - - ItemType - InOut - - + LicenseRestricted + TcEventEntry + 192 + 9408 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.LicenseRestricted + + + .eSeverity + TcEventSeverity.Error + + - fbFFHWO - FB_HardwareFFOutput - Hardware output to fault to if there is a problem. - 64 - 128 - - - ItemType - InOut - - + LicenseDemoDenied + TcEventEntry + 192 + 9600 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.LicenseDemoDenied + + + .eSeverity + TcEventSeverity.Error + + - sTransitionKey - STRING(80) - The database lookup key for the transition state. This has no corresponding ST_PositionState. - 648 - 192 - - - ItemType - Input - - + InvalidFunctionID + TcEventEntry + 192 + 9792 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.InvalidFunctionID + + + .eSeverity + TcEventSeverity.Error + + - sDeviceName - STRING(80) - A name to use for fast faults, etc. - 648 - 840 - - - ItemType - Input - - + OutOfRange + TcEventEntry + 192 + 9984 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.OutOfRange + + + .eSeverity + TcEventSeverity.Error + + - bReadNow - BOOL - For debug: set this to TRUE in online mode to read the database immediately. - 8 - 1488 - - - ItemType - Input - - + InvalidAlignment + TcEventEntry + 192 + 10176 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.InvalidAlignment + + + .eSeverity + TcEventSeverity.Error + + + + + LicensePlatform + TcEventEntry + 192 + 10368 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.LicensePlatform + + + .eSeverity + TcEventSeverity.Error + + - astDbStateParams - ST_DbStateParams - - 0 - 16 - - The raw lookup results from this FB. Index 0 is the transition beam, the rest of the indices match the state positions. - 39936 - 1504 - - - ItemType - Output - - + ForwardPassiveLevel + TcEventEntry + 192 + 10560 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.ForwardPassiveLevel + + + .eSeverity + TcEventSeverity.Error + + - bFirstReadDone - BOOL - TRUE if we've had at least one successful read. - 8 - 41440 - - - ItemType - Output - - + ForwardDispatchLevel + TcEventEntry + 192 + 10752 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.ForwardDispatchLevel + + + .eSeverity + TcEventSeverity.Error + + - bError - BOOL - This will be set to TRUE if there was an error reading from the database. - 8 - 41448 - - - ItemType - Output - - + ForwardRealTime + TcEventEntry + 192 + 10944 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.ForwardRealTime + + + .eSeverity + TcEventSeverity.Error + + - ffError - FB_FastFault - 25920 - 41472 + InvalidServiceParam + TcEventEntry + 192 + 11136 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.InvalidServiceParam + + + .eSeverity + TcEventSeverity.Error + + - fbReadPmpsDb - FB_JsonDocToSafeBP - 115008 - 67392 + ListEmpty + TcEventEntry + 192 + 11328 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.ListEmpty + + + .eSeverity + TcEventSeverity.Error + + - ftDbBusy - F_TRIG - 128 - 182400 + VariableConAlreadyInUse + TcEventEntry + 192 + 11520 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.VariableConAlreadyInUse + + + .eSeverity + TcEventSeverity.Error + + - ftRead - F_TRIG - 128 - 182528 + InvokeIDAlreadyInUse + TcEventEntry + 192 + 11712 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.InvokeIDAlreadyInUse + + + .eSeverity + TcEventSeverity.Error + + - bReadPmpsDb - BOOL - 8 - 182656 + TimeoutElapsed + TcEventEntry + 192 + 11904 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.TimeoutElapsed + + + .eSeverity + TcEventSeverity.Error + + - nIterMotor - DINT - 32 - 182688 + Win32Error + TcEventEntry + 192 + 12096 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.Win32Error + + + .eSeverity + TcEventSeverity.Error + + - nIterState - DINT - 32 - 182720 + TimeoutInvalid + TcEventEntry + 192 + 12288 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.TimeoutInvalid + + + .eSeverity + TcEventSeverity.Error + + - nIterState2 - DINT - 32 - 182752 + PortNotOpen + TcEventEntry + 192 + 12480 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.PortNotOpen + + + .eSeverity + TcEventSeverity.Error + + - sLoopNewKey - STRING(80) - 648 - 182784 + NoAMSAddr + TcEventEntry + 192 + 12672 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.NoAMSAddr + + + .eSeverity + TcEventSeverity.Error + + - sLoopPrevKey - STRING(80) - 648 - 183432 + SyncInternalError + TcEventEntry + 192 + 12864 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.SyncInternalError + + + .eSeverity + TcEventSeverity.Error + + - abStateError - BOOL - - 0 - 16 - - 128 - 184080 + AddHash + TcEventEntry + 192 + 13056 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.AddHash + + + .eSeverity + TcEventSeverity.Error + + - asLookupKeys - STRING(80) - - 0 - 16 - - 10368 - 184208 + RemoveHash + TcEventEntry + 192 + 13248 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.RemoveHash + + + .eSeverity + TcEventSeverity.Error + + - asPrevLookupKeys - STRING(80) - - 0 - 16 - - 10368 - 194576 + NoMoreSymbols + TcEventEntry + 192 + 13440 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.NoMoreSymbols + + + .eSeverity + TcEventSeverity.Error + + - bNewKeys - BOOL - 8 - 204944 + SyncResInvalid + TcEventEntry + 192 + 13632 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.SyncResInvalid + + + .eSeverity + TcEventSeverity.Error + + - sTempBackfill - STRING(80) - 648 - 204952 + SyncPortLocked + TcEventEntry + 192 + 13824 + + + .uuidEventClass.Data1 + 2104885350 + + + .uuidEventClass.Data2 + 54131 + + + .uuidEventClass.Data3 + 18956 + + + .uuidEventClass.Data4[0] + 176 + + + .uuidEventClass.Data4[1] + 241 + + + .uuidEventClass.Data4[2] + 50 + + + .uuidEventClass.Data4[3] + 139 + + + .uuidEventClass.Data4[4] + 22 + + + .uuidEventClass.Data4[5] + 32 + + + .uuidEventClass.Data4[6] + 176 + + + .uuidEventClass.Data4[7] + 240 + + + .nEventID + E_TcGeneralAdsEventClass.SyncPortLocked + + + .eSeverity + TcEventSeverity.Error + + - - SelectLookupKeys - - - BackfillInfo - - - ReadDatabase - - - RunFastFaults - - PouType - FunctionBlock + TcTypeSystem + + + signature_flag + 33554432 + + + checksuperglobal + + + show + + + no-analysis + + + TcEventClass + TcGeneralAdsEventClass - FB_MotionBPTM - 115072 - - astMotionStage - ST_MotionStage - - 1 - 3 - - Array of motors that will move for this beam transition - 64 - 64 - - - ItemType - InOut - - - - - fbArbiter - FB_Arbiter - The arbiter to request beam states from - 64 - 128 - - - ItemType - InOut - - - - - fbFFHWO - FB_HardwareFFOutput - The fast fault output to fault to - 64 - 192 - - - ItemType - InOut - - - - - stGoalParams - ST_DbStateParams - The parameters we want to transition to - 64 - 256 - - - ItemType - InOut - - - - - stTransParams - ST_DbStateParams - The parameters we want to use during the transition - 64 - 320 - - - ItemType - InOut - - - - - nActiveMotorCount - UINT - The number of motors we're actually using - 16 - 384 - - - ItemType - Input - - - - - bEnable - BOOL - Set to TRUE to use the BPTM, FALSE to stop using the BPTM. - 8 - 400 - - - ItemType - Input - - - - - bAtState - BOOL - TRUE if we're at the physical state that matches the goal parameters - 8 - 408 - - - ItemType - Input - - - + TcRouterEventClass + TcRouterEventClass + + NoLockedMemory + Locked memory cannot be allocated. + Error + + + ResizeMemory + The router memory size could not be changed. + Error + + + MailboxFull + The mailbox has reached the maximum number of possible messages. + Error + + + DebugBoxFull + The debug mailbox has reached the maximum number of possible messages. + Error + + + UnknownPortType + The port type is unknown. + Error + + + NotInitialized + TwinCAT Router is not initialised. + Error + + + PortAlreadyInUse + The port number is already assigned. + Error + + + NotRegistered + The port is not registered. + Error + + + NoMoreQueues + The maximum number of ports has been reached. + Error + + + InvalidPort + The port is invalid. + Error + + + NotActivated + TwinCAT Router is not active. + Error + + + FragmentBoxFull + The mailbox has reached the maximum number for fragmented messages. + Error + + + FragmentTimeout + A fragment timeout has occurred. + Error + + + ToBeRemoved + The port is removed. + Error + + + + Lockierter Speicher kann nicht zugewiesen werden. + + + Die Größe des Routerspeichers konnte nicht geändert werden. + + + Das Postfach hat die maximale Anzahl der möglichen Meldungen erreicht. + + + Das Debug Postfach hat die maximale Anzahl der möglichen Meldungen erreicht. + + + Der Porttyp ist unbekannt. + + + Der TwinCAT Router ist nicht initialisiert. + + + Die Portnummer ist bereits vergeben. + + + Der Port ist nicht registriert. + + + Die maximale Portanzahl ist erreicht. + + + Der Port ist ungültig. + + + Der TwinCAT Router ist nicht aktiv. + + + Das Postfach hat die maximale Anzahl für fragmentierte Nachrichten erreicht. + + + Fragment Timeout aufgetreten. + + + Port wird entfernt. + + + + + + + + + ST_TcRouterEventClass + 2688 - sDeviceName - STRING(80) - A device name to use in the GUI - 648 - 416 - - - ItemType - Input - - + NoLockedMemory + TcEventEntry + 192 + 0 + + + .uuidEventClass.Data1 + 3881394266 + + + .uuidEventClass.Data2 + 9025 + + + .uuidEventClass.Data3 + 18684 + + + .uuidEventClass.Data4[0] + 159 + + + .uuidEventClass.Data4[1] + 63 + + + .uuidEventClass.Data4[2] + 200 + + + .uuidEventClass.Data4[3] + 250 + + + .uuidEventClass.Data4[4] + 64 + + + .uuidEventClass.Data4[5] + 92 + + + .uuidEventClass.Data4[6] + 75 + + + .uuidEventClass.Data4[7] + 36 + + + .nEventID + E_TcRouterEventClass.NoLockedMemory + + + .eSeverity + TcEventSeverity.Error + + - tArbiterTimeout - TIME - How long to wait for parameters before timing out - 32 - 1088 + ResizeMemory + TcEventEntry + 192 + 192 - T#1s + + .uuidEventClass.Data1 + 3881394266 + + + .uuidEventClass.Data2 + 9025 + + + .uuidEventClass.Data3 + 18684 + + + .uuidEventClass.Data4[0] + 159 + + + .uuidEventClass.Data4[1] + 63 + + + .uuidEventClass.Data4[2] + 200 + + + .uuidEventClass.Data4[3] + 250 + + + .uuidEventClass.Data4[4] + 64 + + + .uuidEventClass.Data4[5] + 92 + + + .uuidEventClass.Data4[6] + 75 + + + .uuidEventClass.Data4[7] + 36 + + + .nEventID + E_TcRouterEventClass.ResizeMemory + + + .eSeverity + TcEventSeverity.Error + - - - ItemType - Input - - - bMoveOnArbiterTimeout - BOOL - Whether to fault and move on timeout (TRUE) or to wait (FALSE) - 8 - 1120 + MailboxFull + TcEventEntry + 192 + 384 - true + + .uuidEventClass.Data1 + 3881394266 + + + .uuidEventClass.Data2 + 9025 + + + .uuidEventClass.Data3 + 18684 + + + .uuidEventClass.Data4[0] + 159 + + + .uuidEventClass.Data4[1] + 63 + + + .uuidEventClass.Data4[2] + 200 + + + .uuidEventClass.Data4[3] + 250 + + + .uuidEventClass.Data4[4] + 64 + + + .uuidEventClass.Data4[5] + 92 + + + .uuidEventClass.Data4[6] + 75 + + + .uuidEventClass.Data4[7] + 36 + + + .nEventID + E_TcRouterEventClass.MailboxFull + + + .eSeverity + TcEventSeverity.Error + - - - ItemType - Input - - - bResetBPTMTimeout - BOOL - Set to TRUE when it is safe to reset the BPTM timeout fast fault, to reset it early. - 8 - 1128 - - - ItemType - Input - - + DebugBoxFull + TcEventEntry + 192 + 576 + + + .uuidEventClass.Data1 + 3881394266 + + + .uuidEventClass.Data2 + 9025 + + + .uuidEventClass.Data3 + 18684 + + + .uuidEventClass.Data4[0] + 159 + + + .uuidEventClass.Data4[1] + 63 + + + .uuidEventClass.Data4[2] + 200 + + + .uuidEventClass.Data4[3] + 250 + + + .uuidEventClass.Data4[4] + 64 + + + .uuidEventClass.Data4[5] + 92 + + + .uuidEventClass.Data4[6] + 75 + + + .uuidEventClass.Data4[7] + 36 + + + .nEventID + E_TcRouterEventClass.DebugBoxFull + + + .eSeverity + TcEventSeverity.Error + + - bTransitionAuthorized - BOOL - This becomes TRUE when the motors are allowed to move to their destinations. - 8 - 1136 - - - ItemType - Output - - + UnknownPortType + TcEventEntry + 192 + 768 + + + .uuidEventClass.Data1 + 3881394266 + + + .uuidEventClass.Data2 + 9025 + + + .uuidEventClass.Data3 + 18684 + + + .uuidEventClass.Data4[0] + 159 + + + .uuidEventClass.Data4[1] + 63 + + + .uuidEventClass.Data4[2] + 200 + + + .uuidEventClass.Data4[3] + 250 + + + .uuidEventClass.Data4[4] + 64 + + + .uuidEventClass.Data4[5] + 92 + + + .uuidEventClass.Data4[6] + 75 + + + .uuidEventClass.Data4[7] + 36 + + + .nEventID + E_TcRouterEventClass.UnknownPortType + + + .eSeverity + TcEventSeverity.Error + + - bDone - BOOL - This becomes TRUE once the full beam transition is done. - 8 - 1144 - - - ItemType - Output - - + NotInitialized + TcEventEntry + 192 + 960 + + + .uuidEventClass.Data1 + 3881394266 + + + .uuidEventClass.Data2 + 9025 + + + .uuidEventClass.Data3 + 18684 + + + .uuidEventClass.Data4[0] + 159 + + + .uuidEventClass.Data4[1] + 63 + + + .uuidEventClass.Data4[2] + 200 + + + .uuidEventClass.Data4[3] + 250 + + + .uuidEventClass.Data4[4] + 64 + + + .uuidEventClass.Data4[5] + 92 + + + .uuidEventClass.Data4[6] + 75 + + + .uuidEventClass.Data4[7] + 36 + + + .nEventID + E_TcRouterEventClass.NotInitialized + + + .eSeverity + TcEventSeverity.Error + + - bMotorCountError - BOOL - TRUE if we're using a bad motor count - 8 + PortAlreadyInUse + TcEventEntry + 192 1152 - - - ItemType - Output - - - - - bptm - BeamParameterTransitionManager - 61568 - 1216 - - - bDoneMoving - BOOL - 8 - 62784 - - - nPrevID - UDINT - 32 - 62816 + + + .uuidEventClass.Data1 + 3881394266 + + + .uuidEventClass.Data2 + 9025 + + + .uuidEventClass.Data3 + 18684 + + + .uuidEventClass.Data4[0] + 159 + + + .uuidEventClass.Data4[1] + 63 + + + .uuidEventClass.Data4[2] + 200 + + + .uuidEventClass.Data4[3] + 250 + + + .uuidEventClass.Data4[4] + 64 + + + .uuidEventClass.Data4[5] + 92 + + + .uuidEventClass.Data4[6] + 75 + + + .uuidEventClass.Data4[7] + 36 + + + .nEventID + E_TcRouterEventClass.PortAlreadyInUse + + + .eSeverity + TcEventSeverity.Error + + - nIndex - DINT - 32 - 62848 + NotRegistered + TcEventEntry + 192 + 1344 + + + .uuidEventClass.Data1 + 3881394266 + + + .uuidEventClass.Data2 + 9025 + + + .uuidEventClass.Data3 + 18684 + + + .uuidEventClass.Data4[0] + 159 + + + .uuidEventClass.Data4[1] + 63 + + + .uuidEventClass.Data4[2] + 200 + + + .uuidEventClass.Data4[3] + 250 + + + .uuidEventClass.Data4[4] + 64 + + + .uuidEventClass.Data4[5] + 92 + + + .uuidEventClass.Data4[6] + 75 + + + .uuidEventClass.Data4[7] + 36 + + + .nEventID + E_TcRouterEventClass.NotRegistered + + + .eSeverity + TcEventSeverity.Error + + - bInternalAuth - BOOL - 8 - 62880 + NoMoreQueues + TcEventEntry + 192 + 1536 + + + .uuidEventClass.Data1 + 3881394266 + + + .uuidEventClass.Data2 + 9025 + + + .uuidEventClass.Data3 + 18684 + + + .uuidEventClass.Data4[0] + 159 + + + .uuidEventClass.Data4[1] + 63 + + + .uuidEventClass.Data4[2] + 200 + + + .uuidEventClass.Data4[3] + 250 + + + .uuidEventClass.Data4[4] + 64 + + + .uuidEventClass.Data4[5] + 92 + + + .uuidEventClass.Data4[6] + 75 + + + .uuidEventClass.Data4[7] + 36 + + + .nEventID + E_TcRouterEventClass.NoMoreQueues + + + .eSeverity + TcEventSeverity.Error + + - bDoneResetQueued - BOOL - 8 - 62888 + InvalidPort + TcEventEntry + 192 + 1728 + + + .uuidEventClass.Data1 + 3881394266 + + + .uuidEventClass.Data2 + 9025 + + + .uuidEventClass.Data3 + 18684 + + + .uuidEventClass.Data4[0] + 159 + + + .uuidEventClass.Data4[1] + 63 + + + .uuidEventClass.Data4[2] + 200 + + + .uuidEventClass.Data4[3] + 250 + + + .uuidEventClass.Data4[4] + 64 + + + .uuidEventClass.Data4[5] + 92 + + + .uuidEventClass.Data4[6] + 75 + + + .uuidEventClass.Data4[7] + 36 + + + .nEventID + E_TcRouterEventClass.InvalidPort + + + .eSeverity + TcEventSeverity.Error + + - tonArbiter - TON - 256 - 62912 + NotActivated + TcEventEntry + 192 + 1920 + + + .uuidEventClass.Data1 + 3881394266 + + + .uuidEventClass.Data2 + 9025 + + + .uuidEventClass.Data3 + 18684 + + + .uuidEventClass.Data4[0] + 159 + + + .uuidEventClass.Data4[1] + 63 + + + .uuidEventClass.Data4[2] + 200 + + + .uuidEventClass.Data4[3] + 250 + + + .uuidEventClass.Data4[4] + 64 + + + .uuidEventClass.Data4[5] + 92 + + + .uuidEventClass.Data4[6] + 75 + + + .uuidEventClass.Data4[7] + 36 + + + .nEventID + E_TcRouterEventClass.NotActivated + + + .eSeverity + TcEventSeverity.Error + + - bArbiterTimeout - BOOL - 8 - 63168 + FragmentBoxFull + TcEventEntry + 192 + 2112 + + + .uuidEventClass.Data1 + 3881394266 + + + .uuidEventClass.Data2 + 9025 + + + .uuidEventClass.Data3 + 18684 + + + .uuidEventClass.Data4[0] + 159 + + + .uuidEventClass.Data4[1] + 63 + + + .uuidEventClass.Data4[2] + 200 + + + .uuidEventClass.Data4[3] + 250 + + + .uuidEventClass.Data4[4] + 64 + + + .uuidEventClass.Data4[5] + 92 + + + .uuidEventClass.Data4[6] + 75 + + + .uuidEventClass.Data4[7] + 36 + + + .nEventID + E_TcRouterEventClass.FragmentBoxFull + + + .eSeverity + TcEventSeverity.Error + + - ffBPTMTimeoutAndMove - FB_FastFault - 25920 - 63232 + FragmentTimeout + TcEventEntry + 192 + 2304 + + + .uuidEventClass.Data1 + 3881394266 + + + .uuidEventClass.Data2 + 9025 + + + .uuidEventClass.Data3 + 18684 + + + .uuidEventClass.Data4[0] + 159 + + + .uuidEventClass.Data4[1] + 63 + + + .uuidEventClass.Data4[2] + 200 + + + .uuidEventClass.Data4[3] + 250 + + + .uuidEventClass.Data4[4] + 64 + + + .uuidEventClass.Data4[5] + 92 + + + .uuidEventClass.Data4[6] + 75 + + + .uuidEventClass.Data4[7] + 36 + + + .nEventID + E_TcRouterEventClass.FragmentTimeout + + + .eSeverity + TcEventSeverity.Error + + - ffBPTMError - FB_FastFault - 25920 - 89152 + ToBeRemoved + TcEventEntry + 192 + 2496 + + + .uuidEventClass.Data1 + 3881394266 + + + .uuidEventClass.Data2 + 9025 + + + .uuidEventClass.Data3 + 18684 + + + .uuidEventClass.Data4[0] + 159 + + + .uuidEventClass.Data4[1] + 63 + + + .uuidEventClass.Data4[2] + 200 + + + .uuidEventClass.Data4[3] + 250 + + + .uuidEventClass.Data4[4] + 64 + + + .uuidEventClass.Data4[5] + 92 + + + .uuidEventClass.Data4[6] + 75 + + + .uuidEventClass.Data4[7] + 36 + + + .nEventID + E_TcRouterEventClass.ToBeRemoved + + + .eSeverity + TcEventSeverity.Error + + - - HandleTimeout - - - SetDoneMoving - - - CheckCount - - - RunBPTM - - PouType - FunctionBlock + TcTypeSystem + + + signature_flag + 33554432 + + + checksuperglobal + + + show + + + no-analysis + + + TcEventClass + TcRouterEventClass - FB_MotionClearAsserts - 448 - - astDbStateParams - ST_DbStateParams - - 0 - 16 - - All states to deactivate: transition + the static position states - 64 - 64 - - - ItemType - InOut - - - + TcRTimeEventClass + TcRTimeEventClass + + InternalError + Internal error in the real-time system. + Error + + + BadTimerPeriods + Timer value is not valid. + Error + + + InvalidTaskPtr + The task pointer has the invalid value 0 (null). + Error + + + InvalidStackPtr + The stack pointer has the invalid value 0 (null). + Error + + + PrioExists + The task priority is already assigned. + Error + + + NoMoreTCB + No free TCB (Task Control Block) available. The maximum number of TCBs is 64. + Error + + + NoMoreSemas + No free semaphores available. The maximum number of semaphores is 64. + Error + + + NoMoreQueues + No free space available in the queue. The maximum number of positions in the queue is 64. + Error + + + ExtIRQAlreadyDef + An external synchronization interrupt is already applied. + Error + + + ExtIRQNotDef + No external synchronziation interrupt applied. + Error + + + ExtIRQInstallFailed + Application of the external synchronization interrupt failed. + Error + + + IRQNotLessOrEqual + Call of a service function in the wrong context. + Error + + + VMXNotSupported + Intel VT-x extension is not supported. + Error + + + VMXDisabled + Intel VT-x extension is not enabled in the BIOS. + Error + + + VMXControlsMissing + Missing function in Intel VT-x extension. + Error + + + VMXEnableFails + Activation of Intel VT-x fails. + Error + + + + Interner Fehler im Echtzeit-System. + + + Timer-Wert ist nicht gültig. + + + Task-Pointer hat den ungültigen Wert 0 (null). + + + Stack-Pointer hat den ungültigen Wert 0 (null). + + + Die Task Priority ist bereits vergeben. + + + Kein freier TCB (Task Control Block) verfügbar. Maximale Anzahl von TCBs beträgt 64. + + + Keine freien Semaphoren zur Verfügung. Maximale Anzahl der Semaphoren beträgt 64. + + + Kein freier Platz in der Warteschlange zur Verfügung. Maximale Anzahl der Plätze in der Warteschlange beträgt 64. + + + Ein externer Synchronisations-Interrupt wird bereits angewandt. + + + Kein externer Synchronisations-Interrupt angewandt. + + + Anwendung des externen Synchronisations-Interrupts ist fehlgeschlagen. + + + Aufruf einer Service-Funktion im falschen Kontext + + + Intel VT-x Erweiterung wird nicht unterstützt. + + + Intel VT-x Erweiterung ist nicht aktiviert im BIOS. + + + Fehlende Funktion in Intel VT-x Erweiterung. + + + Aktivieren von Intel VT-x schlägt fehl. + + + + + + + + + + ST_TcRTimeEventClass + 3072 - fbArbiter - FB_Arbiter - The arbiter who made the PMPS assert requests - 64 - 128 - - - ItemType - InOut - - + InternalError + TcEventEntry + 192 + 0 + + + .uuidEventClass.Data1 + 2465223571 + + + .uuidEventClass.Data2 + 1704 + + + .uuidEventClass.Data3 + 18626 + + + .uuidEventClass.Data4[0] + 136 + + + .uuidEventClass.Data4[1] + 113 + + + .uuidEventClass.Data4[2] + 234 + + + .uuidEventClass.Data4[3] + 163 + + + .uuidEventClass.Data4[4] + 140 + + + .uuidEventClass.Data4[5] + 30 + + + .uuidEventClass.Data4[6] + 57 + + + .uuidEventClass.Data4[7] + 144 + + + .nEventID + E_TcRTimeEventClass.InternalError + + + .eSeverity + TcEventSeverity.Error + + - bExecute - BOOL - Clear asserts on rising edge - 8 + BadTimerPeriods + TcEventEntry + 192 192 - - - ItemType - Input - - - - - rtExec - R_TRIG - 128 - 256 + + + .uuidEventClass.Data1 + 2465223571 + + + .uuidEventClass.Data2 + 1704 + + + .uuidEventClass.Data3 + 18626 + + + .uuidEventClass.Data4[0] + 136 + + + .uuidEventClass.Data4[1] + 113 + + + .uuidEventClass.Data4[2] + 234 + + + .uuidEventClass.Data4[3] + 163 + + + .uuidEventClass.Data4[4] + 140 + + + .uuidEventClass.Data4[5] + 30 + + + .uuidEventClass.Data4[6] + 57 + + + .uuidEventClass.Data4[7] + 144 + + + .nEventID + E_TcRTimeEventClass.BadTimerPeriods + + + .eSeverity + TcEventSeverity.Error + + - nIter - DINT - 32 + InvalidTaskPtr + TcEventEntry + 192 384 - - - - PouType - FunctionBlock - - - - - E_StatePMPSStatus - 16 - INT - - UNKNOWN - 0 - No other enum state describes it - - - TRANSITION - 1 - Moving toward a known state - - - AT_STATE - 2 - Within a known state, not trying to leave - - - DISABLED - 3 - PMPS is in some way disabled, either with maint mode or arbiter disable - - - - qualified_only - - - strict - - - - - FB_StatePMPSEnables - 27520 - - stMotionStage - ST_MotionStage - The motor with a position state. - 64 - 64 - - - ItemType - InOut - - - - - astPositionState - ST_PositionState - - 1 - 15 - - All possible position states for this motor. - 64 - 128 - - - ItemType - InOut - - - - - fbFFHWO - FB_HardwareFFOutput - Hardware output to fault to if there is a problem. - 64 - 192 - - - ItemType - InOut - - - - - bEnable - BOOL - If TRUE, do the limits as normal. If FALSE, allow all moves regardless of the limits defined here. - 8 - 256 - - - ItemType - Input - - - - - nGoalStateIndex - UINT - The state that the motor is moving to. - 16 - 272 - - - ItemType - Input - - + + + .uuidEventClass.Data1 + 2465223571 + + + .uuidEventClass.Data2 + 1704 + + + .uuidEventClass.Data3 + 18626 + + + .uuidEventClass.Data4[0] + 136 + + + .uuidEventClass.Data4[1] + 113 + + + .uuidEventClass.Data4[2] + 234 + + + .uuidEventClass.Data4[3] + 163 + + + .uuidEventClass.Data4[4] + 140 + + + .uuidEventClass.Data4[5] + 30 + + + .uuidEventClass.Data4[6] + 57 + + + .uuidEventClass.Data4[7] + 144 + + + .nEventID + E_TcRTimeEventClass.InvalidTaskPtr + + + .eSeverity + TcEventSeverity.Error + + - eStatePMPSStatus - E_StatePMPSStatus - The overal PMPS FB state - 16 - 288 - - - ItemType - Input - - + InvalidStackPtr + TcEventEntry + 192 + 576 + + + .uuidEventClass.Data1 + 2465223571 + + + .uuidEventClass.Data2 + 1704 + + + .uuidEventClass.Data3 + 18626 + + + .uuidEventClass.Data4[0] + 136 + + + .uuidEventClass.Data4[1] + 113 + + + .uuidEventClass.Data4[2] + 234 + + + .uuidEventClass.Data4[3] + 163 + + + .uuidEventClass.Data4[4] + 140 + + + .uuidEventClass.Data4[5] + 30 + + + .uuidEventClass.Data4[6] + 57 + + + .uuidEventClass.Data4[7] + 144 + + + .nEventID + E_TcRTimeEventClass.InvalidStackPtr + + + .eSeverity + TcEventSeverity.Error + + - bTransitionAuthorized - BOOL - Connect to the BPTM - 8 - 304 - - - ItemType - Input - - + PrioExists + TcEventEntry + 192 + 768 + + + .uuidEventClass.Data1 + 2465223571 + + + .uuidEventClass.Data2 + 1704 + + + .uuidEventClass.Data3 + 18626 + + + .uuidEventClass.Data4[0] + 136 + + + .uuidEventClass.Data4[1] + 113 + + + .uuidEventClass.Data4[2] + 234 + + + .uuidEventClass.Data4[3] + 163 + + + .uuidEventClass.Data4[4] + 140 + + + .uuidEventClass.Data4[5] + 30 + + + .uuidEventClass.Data4[6] + 57 + + + .uuidEventClass.Data4[7] + 144 + + + .nEventID + E_TcRTimeEventClass.PrioExists + + + .eSeverity + TcEventSeverity.Error + + - bEnabled - BOOL - The enable state we send to MC_Power. This is a pass-through from stMotionStage. - 8 - 312 - - - ItemType - Output - - + NoMoreTCB + TcEventEntry + 192 + 960 + + + .uuidEventClass.Data1 + 2465223571 + + + .uuidEventClass.Data2 + 1704 + + + .uuidEventClass.Data3 + 18626 + + + .uuidEventClass.Data4[0] + 136 + + + .uuidEventClass.Data4[1] + 113 + + + .uuidEventClass.Data4[2] + 234 + + + .uuidEventClass.Data4[3] + 163 + + + .uuidEventClass.Data4[4] + 140 + + + .uuidEventClass.Data4[5] + 30 + + + .uuidEventClass.Data4[6] + 57 + + + .uuidEventClass.Data4[7] + 144 + + + .nEventID + E_TcRTimeEventClass.NoMoreTCB + + + .eSeverity + TcEventSeverity.Error + + - bForwardEnabled - BOOL - The forward enable state we send to MC_Power. This may be a pass-through or an override to FALSE. - 8 - 320 - - - ItemType - Output - - + NoMoreSemas + TcEventEntry + 192 + 1152 + + + .uuidEventClass.Data1 + 2465223571 + + + .uuidEventClass.Data2 + 1704 + + + .uuidEventClass.Data3 + 18626 + + + .uuidEventClass.Data4[0] + 136 + + + .uuidEventClass.Data4[1] + 113 + + + .uuidEventClass.Data4[2] + 234 + + + .uuidEventClass.Data4[3] + 163 + + + .uuidEventClass.Data4[4] + 140 + + + .uuidEventClass.Data4[5] + 30 + + + .uuidEventClass.Data4[6] + 57 + + + .uuidEventClass.Data4[7] + 144 + + + .nEventID + E_TcRTimeEventClass.NoMoreSemas + + + .eSeverity + TcEventSeverity.Error + + - bBackwardEnabled - BOOL - The backwards enable state we send to MC_Power. This may be a pass-through or an override to FALSE. - 8 - 328 - - - ItemType - Output - - + NoMoreQueues + TcEventEntry + 192 + 1344 + + + .uuidEventClass.Data1 + 2465223571 + + + .uuidEventClass.Data2 + 1704 + + + .uuidEventClass.Data3 + 18626 + + + .uuidEventClass.Data4[0] + 136 + + + .uuidEventClass.Data4[1] + 113 + + + .uuidEventClass.Data4[2] + 234 + + + .uuidEventClass.Data4[3] + 163 + + + .uuidEventClass.Data4[4] + 140 + + + .uuidEventClass.Data4[5] + 30 + + + .uuidEventClass.Data4[6] + 57 + + + .uuidEventClass.Data4[7] + 144 + + + .nEventID + E_TcRTimeEventClass.NoMoreQueues + + + .eSeverity + TcEventSeverity.Error + + - bValidGoal - BOOL - TRUE if there is a valid goal position and FALSE otherwise. This makes a fast fault if FALSE. - 8 - 336 - - - ItemType - Output - - + ExtIRQAlreadyDef + TcEventEntry + 192 + 1536 + + + .uuidEventClass.Data1 + 2465223571 + + + .uuidEventClass.Data2 + 1704 + + + .uuidEventClass.Data3 + 18626 + + + .uuidEventClass.Data4[0] + 136 + + + .uuidEventClass.Data4[1] + 113 + + + .uuidEventClass.Data4[2] + 234 + + + .uuidEventClass.Data4[3] + 163 + + + .uuidEventClass.Data4[4] + 140 + + + .uuidEventClass.Data4[5] + 30 + + + .uuidEventClass.Data4[6] + 57 + + + .uuidEventClass.Data4[7] + 144 + + + .nEventID + E_TcRTimeEventClass.ExtIRQAlreadyDef + + + .eSeverity + TcEventSeverity.Error + + - mc_power - MC_Power - 960 - 384 + ExtIRQNotDef + TcEventEntry + 192 + 1728 + + + .uuidEventClass.Data1 + 2465223571 + + + .uuidEventClass.Data2 + 1704 + + + .uuidEventClass.Data3 + 18626 + + + .uuidEventClass.Data4[0] + 136 + + + .uuidEventClass.Data4[1] + 113 + + + .uuidEventClass.Data4[2] + 234 + + + .uuidEventClass.Data4[3] + 163 + + + .uuidEventClass.Data4[4] + 140 + + + .uuidEventClass.Data4[5] + 30 + + + .uuidEventClass.Data4[6] + 57 + + + .uuidEventClass.Data4[7] + 144 + + + .nEventID + E_TcRTimeEventClass.ExtIRQNotDef + + + .eSeverity + TcEventSeverity.Error + + - nPrevStateIndex - DINT - 32 - 1344 + ExtIRQInstallFailed + TcEventEntry + 192 + 1920 + + + .uuidEventClass.Data1 + 2465223571 + + + .uuidEventClass.Data2 + 1704 + + + .uuidEventClass.Data3 + 18626 + + + .uuidEventClass.Data4[0] + 136 + + + .uuidEventClass.Data4[1] + 113 + + + .uuidEventClass.Data4[2] + 234 + + + .uuidEventClass.Data4[3] + 163 + + + .uuidEventClass.Data4[4] + 140 + + + .uuidEventClass.Data4[5] + 30 + + + .uuidEventClass.Data4[6] + 57 + + + .uuidEventClass.Data4[7] + 144 + + + .nEventID + E_TcRTimeEventClass.ExtIRQInstallFailed + + + .eSeverity + TcEventSeverity.Error + + - fLowerPos - LREAL - 64 - 1408 + IRQNotLessOrEqual + TcEventEntry + 192 + 2112 + + + .uuidEventClass.Data1 + 2465223571 + + + .uuidEventClass.Data2 + 1704 + + + .uuidEventClass.Data3 + 18626 + + + .uuidEventClass.Data4[0] + 136 + + + .uuidEventClass.Data4[1] + 113 + + + .uuidEventClass.Data4[2] + 234 + + + .uuidEventClass.Data4[3] + 163 + + + .uuidEventClass.Data4[4] + 140 + + + .uuidEventClass.Data4[5] + 30 + + + .uuidEventClass.Data4[6] + 57 + + + .uuidEventClass.Data4[7] + 144 + + + .nEventID + E_TcRTimeEventClass.IRQNotLessOrEqual + + + .eSeverity + TcEventSeverity.Error + + - fUpperPos - LREAL - 64 - 1472 + VMXNotSupported + TcEventEntry + 192 + 2304 + + + .uuidEventClass.Data1 + 2465223571 + + + .uuidEventClass.Data2 + 1704 + + + .uuidEventClass.Data3 + 18626 + + + .uuidEventClass.Data4[0] + 136 + + + .uuidEventClass.Data4[1] + 113 + + + .uuidEventClass.Data4[2] + 234 + + + .uuidEventClass.Data4[3] + 163 + + + .uuidEventClass.Data4[4] + 140 + + + .uuidEventClass.Data4[5] + 30 + + + .uuidEventClass.Data4[6] + 57 + + + .uuidEventClass.Data4[7] + 144 + + + .nEventID + E_TcRTimeEventClass.VMXNotSupported + + + .eSeverity + TcEventSeverity.Error + + - ffNoGoal - FB_FastFault - 25920 - 1536 + VMXDisabled + TcEventEntry + 192 + 2496 + + + .uuidEventClass.Data1 + 2465223571 + + + .uuidEventClass.Data2 + 1704 + + + .uuidEventClass.Data3 + 18626 + + + .uuidEventClass.Data4[0] + 136 + + + .uuidEventClass.Data4[1] + 113 + + + .uuidEventClass.Data4[2] + 234 + + + .uuidEventClass.Data4[3] + 163 + + + .uuidEventClass.Data4[4] + 140 + + + .uuidEventClass.Data4[5] + 30 + + + .uuidEventClass.Data4[6] + 57 + + + .uuidEventClass.Data4[7] + 144 + + + .nEventID + E_TcRTimeEventClass.VMXDisabled + + + .eSeverity + TcEventSeverity.Error + + - bLockBounds - BOOL - 8 - 27456 + VMXControlsMissing + TcEventEntry + 192 + 2688 + + + .uuidEventClass.Data1 + 2465223571 + + + .uuidEventClass.Data2 + 1704 + + + .uuidEventClass.Data3 + 18626 + + + .uuidEventClass.Data4[0] + 136 + + + .uuidEventClass.Data4[1] + 113 + + + .uuidEventClass.Data4[2] + 234 + + + .uuidEventClass.Data4[3] + 163 + + + .uuidEventClass.Data4[4] + 140 + + + .uuidEventClass.Data4[5] + 30 + + + .uuidEventClass.Data4[6] + 57 + + + .uuidEventClass.Data4[7] + 144 + + + .nEventID + E_TcRTimeEventClass.VMXControlsMissing + + + .eSeverity + TcEventSeverity.Error + + - bErrorMsg - BOOL - 8 - 27464 + VMXEnableFails + TcEventEntry + 192 + 2880 + + + .uuidEventClass.Data1 + 2465223571 + + + .uuidEventClass.Data2 + 1704 + + + .uuidEventClass.Data3 + 18626 + + + .uuidEventClass.Data4[0] + 136 + + + .uuidEventClass.Data4[1] + 113 + + + .uuidEventClass.Data4[2] + 234 + + + .uuidEventClass.Data4[3] + 163 + + + .uuidEventClass.Data4[4] + 140 + + + .uuidEventClass.Data4[5] + 30 + + + .uuidEventClass.Data4[6] + 57 + + + .uuidEventClass.Data4[7] + 144 + + + .nEventID + E_TcRTimeEventClass.VMXEnableFails + + + .eSeverity + TcEventSeverity.Error + + - - SetEnables - - - ApplyEnables - - - GetBounds - - - RunFastFaults - - PouType - FunctionBlock + TcTypeSystem + + + signature_flag + 33554432 + + + checksuperglobal + + + show + + + no-analysis + + + TcEventClass + TcRTimeEventClass - FB_StatePMPSEnablesND - 135552 - - astMotionStage - ST_MotionStage - - 1 - 3 - - The motors with a combined N-dimensional state - 64 - 64 - - - ItemType - InOut - - - - - astPositionState - ST_PositionState - - 1 - 3 - - - 1 - 15 - - Each motor's respective position states along its direction - 64 - 128 - - - ItemType - InOut - - - - - fbFFHWO - FB_HardwareFFOutput - Hardware output to fault to if there is a problem. - 64 - 192 - - - ItemType - InOut - - - - - bEnable - BOOL - Whether or not to do anything - 8 - 256 - - - ItemType - Input - - - - - nActiveMotorCount - UINT - The number of motors we're actually using - 16 - 272 - - - ItemType - Input - - - - - nGoalStateIndex - UINT - The state that the motors are moving to, along dimension 2 of the position state array. This may be the same as the current state. - 16 - 288 - - - ItemType - Input - - - - - sDeviceName - STRING(80) - A name to use for this state mover in the case of fast faults. - 648 - 304 - - - ItemType - Input - - - - - bMaintMode - BOOL - Set to TRUE to put motors into maintenance mode. This allows us to freely move the motors at the cost of a fast fault. - 8 - 952 - - - ItemType - Input - - - - - eStatePMPSStatus - E_StatePMPSStatus - The overal PMPS FB state - 16 - 960 - - - ItemType - Input - - - - - bTransitionAuthorized - BOOL - Connect from bptm bTransitionAuthorized - 8 - 976 - - - ItemType - Input - - - + Win32EventClass + Win32EventClass + + ERROR_SUCCESS + The operation completed successfully. + Verbose + + + ERROR_INVALID_FUNCTION + Incorrect function. + Error + + + ERROR_FILE_NOT_FOUND + The system cannot find the file specified. + Error + + + ERROR_PATH_NOT_FOUND + The system cannot find the path specified. + Error + + + ERROR_TOO_MANY_OPEN_FILES + The system cannot open the file. + Error + + + ERROR_ACCESS_DENIED + Access is denied. + Error + + + ERROR_INVALID_HANDLE + The handle is invalid. + Error + + + ERROR_NOT_ENOUGH_MEMORY + Not enough storage is available to process this command. + Error + + + ERROR_INVALID_DATA + The data is invalid. + Error + + + ERROR_NOT_READY + The device is not ready. + Error + + + ERROR_BAD_COMMAND + The device does not recognize the command. + Error + + + ERROR_CRC + Data error (cyclic redundancy check). + Error + + + ERROR_BAD_LENGTH + The program issued a command but the command length is incorrect. + Error + + + ERROR_WRITE_FAULT + The system cannot write to the specified device. + Error + + + ERROR_READ_FAULT + The system cannot read from the specified device. + Error + + + ERROR_GEN_FAILURE + A device attached to the system is not functioning. + Error + + + ERROR_NOT_SUPPORTED + The request is not supported. + Error + + + ERROR_REM_NOT_LIST + The remote computer is not available. + Error + + + ERROR_DUP_NAME + A duplicate name exists on the network. + Error + + + ERROR_BAD_NETPATH + The network path was not found. + Error + + + ERROR_NETWORK_BUSY + The network is busy. + Error + + + ERROR_DEV_NOT_EXIST + The specified network resource or device is no longer available. + Error + + + ERROR_FILE_EXISTS + The file exists. + Error + + + ERROR_CANNOT_MAKE + The directory or file cannot be created. + Error + + + ERROR_ALREADY_ASSIGNED + The local device name is already in use. + Error + + + ERROR_INVALID_PASSWORD + The specified network password is not correct. + Error + + + ERROR_INVALID_PARAMETER + The parameter is incorrect. + Error + + + ERROR_OPEN_FAILED + The system cannot open the device or file specified. + Error + + + ERROR_ALREADY_EXISTS + Cannot create a file when that file already exists. + Error + + + ERROR_INVALID_FLAG_NUMBER + The flag passed is not correct. + Error + + + WAIT_TIMEOUT + The wait operation timed out. + Error + + + ERROR_NO_MORE_ITEMS + No more data is available. + Error + + + ERROR_INVALID_ADDRESS + Attempt to access invalid address. + Error + + + ERROR_ARITHMETIC_OVERFLOW + Arithmetic result exceeded 32 bits. + Error + + + ERROR_OPERATION_ABORTED + The I/O operation has been aborted because of either a thread exit or an application request. + Error + + + ERROR_IO_INCOMPLETE + Overlapped I/O event is not in a signaled state. + Error + + + ERROR_IO_PENDING + Overlapped I/O operation is in progress. + Error + + + + + + + ST_Win32EventClass + 7104 - abEnabled - BOOL - - 1 - 3 - - Per-motor enable state we send to MC_Power. This is a pass-through from stMotionStage. - 24 - 984 - - - ItemType - Output - - + ERROR_SUCCESS + TcEventEntry + 192 + 0 + + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_SUCCESS + + + .eSeverity + TcEventSeverity.Verbose + + - abForwardEnabled - BOOL - - 1 - 3 - - Per-motor forward enable state we send to MC_Power. This may be a pass-through or an override to FALSE. - 24 - 1008 - - - ItemType - Output - - + ERROR_INVALID_FUNCTION + TcEventEntry + 192 + 192 + + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_INVALID_FUNCTION + + + .eSeverity + TcEventSeverity.Error + + - abBackwardEnabled - BOOL - - 1 - 3 - - Per-motor backwards enable state we send to MC_Power. This may be a pass-through or an override to FALSE. - 24 - 1032 - - - ItemType - Output - - + ERROR_FILE_NOT_FOUND + TcEventEntry + 192 + 384 + + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_FILE_NOT_FOUND + + + .eSeverity + TcEventSeverity.Error + + - abValidGoal - BOOL - - 1 - 3 - - Per-motor TRUE if there is a valid goal position and FALSE otherwise. This makes a fast fault if FALSE. - 24 - 1056 - - - ItemType - Output - - + ERROR_PATH_NOT_FOUND + TcEventEntry + 192 + 576 + + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_PATH_NOT_FOUND + + + .eSeverity + TcEventSeverity.Error + + - bMotorCountError - BOOL - Set to TRUE if the arrays have mismatched sizing. For this FB, this means the motor won't ever get an enable. - 8 - 1080 - - - ItemType - Output - - + ERROR_TOO_MANY_OPEN_FILES + TcEventEntry + 192 + 768 + + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_TOO_MANY_OPEN_FILES + + + .eSeverity + TcEventSeverity.Error + + - afbStateEnables - FB_StatePMPSEnables - - 1 - 3 - - The individual state limit function blocks - 82560 - 1088 + ERROR_ACCESS_DENIED + TcEventEntry + 192 + 960 + + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_ACCESS_DENIED + + + .eSeverity + TcEventSeverity.Error + + - ffMaint - FB_FastFault - 25920 - 83648 + ERROR_INVALID_HANDLE + TcEventEntry + 192 + 1152 + + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_INVALID_HANDLE + + + .eSeverity + TcEventSeverity.Error + + - ffProgrammerError - FB_FastFault - 25920 - 109568 + ERROR_NOT_ENOUGH_MEMORY + TcEventEntry + 192 + 1344 + + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_NOT_ENOUGH_MEMORY + + + .eSeverity + TcEventSeverity.Error + + - nIter - DINT - 32 - 135488 + ERROR_INVALID_DATA + TcEventEntry + 192 + 1536 + + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_INVALID_DATA + + + .eSeverity + TcEventSeverity.Error + + - - DoLimits - - - CheckCount - - - RunFastFaults - - - - PouType - FunctionBlock - - - - - FB_MiscStatesErrorFFO - 106944 - fbArbiter - FB_Arbiter - The arbiter to request beam states from - 64 - 64 - - - ItemType - InOut - - + ERROR_NOT_READY + TcEventEntry + 192 + 1728 + + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_NOT_READY + + + .eSeverity + TcEventSeverity.Error + + - fbFFHWO - FB_HardwareFFOutput - The fast fault output to fault to - 64 - 128 - - - ItemType - InOut - - + ERROR_BAD_COMMAND + TcEventEntry + 192 + 1920 + + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_BAD_COMMAND + + + .eSeverity + TcEventSeverity.Error + + - sDeviceName - STRING(80) - A name to link to these fast faults - 648 - 192 - - - ItemType - Input - - + ERROR_CRC + TcEventEntry + 192 + 2112 + + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_CRC + + + .eSeverity + TcEventSeverity.Error + + - stCurrentBeamReq - ST_BeamParams - Current requested beam details: either a known state or the transition beam - 1760 - 864 - - - ItemType - Input - - + ERROR_BAD_LENGTH + TcEventEntry + 192 + 2304 + + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_BAD_LENGTH + + + .eSeverity + TcEventSeverity.Error + + - bKnownState - BOOL - TRUE if we're at a known state (doesn't matter which) - 8 - 2624 - - - ItemType - Input - - + ERROR_WRITE_FAULT + TcEventEntry + 192 + 2496 + + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_WRITE_FAULT + + + .eSeverity + TcEventSeverity.Error + + - nTransitionID - DWORD - Lookup ID of the transition beam - 32 - 2656 - - - ItemType - Input - - + ERROR_READ_FAULT + TcEventEntry + 192 + 2688 + + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_READ_FAULT + + + .eSeverity + TcEventSeverity.Error + + - nMaxTrips - UINT - Number of consecutive trips before we debounce - 16 - 2688 + ERROR_GEN_FAILURE + TcEventEntry + 192 + 2880 - 5 + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_GEN_FAILURE + + + .eSeverity + TcEventSeverity.Error + - tTripReset - TIME - Decrease trip count by 1 after this much time has passed - 32 - 2720 + ERROR_NOT_SUPPORTED + TcEventEntry + 192 + 3072 - T#1s + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_NOT_SUPPORTED + + + .eSeverity + TcEventSeverity.Error + - ffBeamParamsOk - FB_FastFault - If the beam parameters are wrong, it is a fault! This encompasses all unknown arbiter-related errors. - 25920 - 2752 - - - ffZeroRate - FB_FastFault - If we asked for zero rate (NC or SC) then we can cut the beam early. This is somewhat redundant. - 25920 - 28672 - - - ffUnknown - FB_FastFault - Trip the beam for unknown state - 25920 - 54592 - - - ffDebounce - FB_FastFault - Trip the beam (no autoreset) if ffBeamParamsOK faults/resets multiple times too quickly. - 25920 - 80512 - - - nTripCount - UINT - Number of consecutive trips so far - 16 - 106432 - - - ftTripCount - F_TRIG - Increase by 1 whenever there is a fault (rising edge) - 128 - 106496 - - - tonTripCount - TON - Decrease trip count by 1 each timeout - 256 - 106624 - - - bFirstCycle - BOOL - TRUE on only the first cycle - 8 - 106880 + ERROR_REM_NOT_LIST + TcEventEntry + 192 + 3264 - true + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_REM_NOT_LIST + + + .eSeverity + TcEventSeverity.Error + - - - PouType - FunctionBlock - - - - - FB_PerMotorFFOND - 114048 - - astMotionStage - ST_MotionStage - - 1 - 3 - - All motors associated with the state mover. - 64 - 64 - - - ItemType - InOut - - - - - fbFFHWO - FB_HardwareFFOutput - Fast fault output to fault to. - 64 - 128 - - - ItemType - InOut - - - - - nActiveMotorCount - UINT - The number of motors we're actually using - 16 - 192 - - - ItemType - Input - - - - - sDeviceName - STRING(80) - Identifying name to use in group fast faults - 648 - 208 - - - ItemType - Input - - - - - bMotorCountError - BOOL - Set to TRUE if the arrays don't have the same bounds. In this FB, that's an automatic fault. - 8 - 856 - - - ItemType - Output - - - - - afbEncError - FB_EncErrorFFO - - 1 - 3 - - 87168 - 896 - - - ffProgrammerError - FB_FastFault - 25920 - 88064 - - - nIter - DINT - 32 - 113984 - - - HandleLoops - - - HandleFFO - - - CheckCount - - - - PouType - FunctionBlock - - - - - FB_PositionStatePMPSND_Core - 682240 - astMotionStageMax - ST_MotionStage - - 1 - 3 - - All motors to be used in the states move, including blank/uninitialized structs. - 64 - 64 - - - ItemType - InOut - - + ERROR_DUP_NAME + TcEventEntry + 192 + 3456 + + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_DUP_NAME + + + .eSeverity + TcEventSeverity.Error + + - astPositionStateMax - ST_PositionState - - 1 - 3 - - - 1 - 15 - - All position states for all motors, including unused/invalid states. - 64 - 128 - - - ItemType - InOut - - + ERROR_BAD_NETPATH + TcEventEntry + 192 + 3648 + + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_BAD_NETPATH + + + .eSeverity + TcEventSeverity.Error + + - stEpicsToPlc - ST_StateEpicsToPlc - Normal EPICS inputs, gathered into a single struct - 64 - 192 - - - ItemType - InOut - - + ERROR_NETWORK_BUSY + TcEventEntry + 192 + 3840 + + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_NETWORK_BUSY + + + .eSeverity + TcEventSeverity.Error + + - stPMPSEpicsToPlc - ST_StatePMPSEpicsToPlc - PMPS EPICS inputs, gathered into a single struct - 64 - 256 - - - ItemType - InOut - - + ERROR_DEV_NOT_EXIST + TcEventEntry + 192 + 4032 + + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_DEV_NOT_EXIST + + + .eSeverity + TcEventSeverity.Error + + - stPlcToEpics - ST_StatePlcToEpics - Normal EPICS outputs, gathered into a single struct - 64 - 320 - - - ItemType - InOut - - + ERROR_FILE_EXISTS + TcEventEntry + 192 + 4224 + + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_FILE_EXISTS + + + .eSeverity + TcEventSeverity.Error + + - stPMPSPlcToEpics - ST_StatePMPSPlcToEpics - PMPS EPICS outputs, gathered into a single struct - 64 - 384 - - - ItemType - InOut - - + ERROR_CANNOT_MAKE + TcEventEntry + 192 + 4416 + + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_CANNOT_MAKE + + + .eSeverity + TcEventSeverity.Error + + - fbFFHWO - FB_HardwareFFOutput - The fast fault output to fault to. - 64 - 448 - - - ItemType - InOut - - + ERROR_ALREADY_ASSIGNED + TcEventEntry + 192 + 4608 + + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_ALREADY_ASSIGNED + + + .eSeverity + TcEventSeverity.Error + + - fbArbiter - FB_Arbiter - The arbiter to request beam conditions from. - 64 - 512 - - - ItemType - InOut - - + ERROR_INVALID_PASSWORD + TcEventEntry + 192 + 4800 + + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_INVALID_PASSWORD + + + .eSeverity + TcEventSeverity.Error + + - bEnableBeamParams - BOOL - Set this to TRUE to enable beam parameter checks, or FALSE to disable them. - 8 - 576 - - - ItemType - Input - - + ERROR_INVALID_PARAMETER + TcEventEntry + 192 + 4992 + + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_INVALID_PARAMETER + + + .eSeverity + TcEventSeverity.Error + + - bEnablePositionLimits - BOOL - Set this to TRUE to enable position limit checks, or FALSE to disable them. - 8 - 584 - - - ItemType - Input - - + ERROR_OPEN_FAILED + TcEventEntry + 192 + 5184 + + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_OPEN_FAILED + + + .eSeverity + TcEventSeverity.Error + + - nActiveMotorCount - UINT - Set this to the number of motors to be included in astMotionStageMax - 16 - 592 - - - ItemType - Input - - + ERROR_ALREADY_EXISTS + TcEventEntry + 192 + 5376 + + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_ALREADY_EXISTS + + + .eSeverity + TcEventSeverity.Error + + - sDeviceName - STRING(80) - The name of the device for use in the PMPS DB lookup and diagnostic screens. - 648 - 608 - - - ItemType - Input - - + ERROR_INVALID_FLAG_NUMBER + TcEventEntry + 192 + 5568 + + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_INVALID_FLAG_NUMBER + + + .eSeverity + TcEventSeverity.Error + + - sTransitionKey - STRING(80) - The name of the transition state in the PMPS database. - 648 - 1256 - - - ItemType - Input - - + WAIT_TIMEOUT + TcEventEntry + 192 + 5760 + + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.WAIT_TIMEOUT + + + .eSeverity + TcEventSeverity.Error + + - nCurrGoal - UINT - The current position index goal, where the motors are supposed to be moving towards. - 16 - 1904 - - - ItemType - Input - - + ERROR_NO_MORE_ITEMS + TcEventEntry + 192 + 5952 + + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_NO_MORE_ITEMS + + + .eSeverity + TcEventSeverity.Error + + - bReadDBNow - BOOL - Set this to TRUE to re-read the loaded database immediately (useful for debug) - 8 - 1920 - - - ItemType - Input - - + ERROR_INVALID_ADDRESS + TcEventEntry + 192 + 6144 + + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_INVALID_ADDRESS + + + .eSeverity + TcEventSeverity.Error + + - stDbStateParams - ST_DbStateParams - The PMPS database lookup associated with the current position state. - 2496 - 1952 - - - ItemType - Output - - + ERROR_ARITHMETIC_OVERFLOW + TcEventEntry + 192 + 6336 + + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_ARITHMETIC_OVERFLOW + + + .eSeverity + TcEventSeverity.Error + + + + + ERROR_OPERATION_ABORTED + TcEventEntry + 192 + 6528 + + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_OPERATION_ABORTED + + + .eSeverity + TcEventSeverity.Error + + - fbMotionReadPMPSDB - FB_MotionReadPMPSDBND - 205632 - 4480 + ERROR_IO_INCOMPLETE + TcEventEntry + 192 + 6720 + + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_IO_INCOMPLETE + + + .eSeverity + TcEventSeverity.Error + + - fbMotionBPTM - FB_MotionBPTM - 115072 - 210112 + ERROR_IO_PENDING + TcEventEntry + 192 + 6912 + + + .uuidEventClass.Data1 + 487345068 + + + .uuidEventClass.Data2 + 60659 + + + .uuidEventClass.Data3 + 20275 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 32 + + + .uuidEventClass.Data4[2] + 161 + + + .uuidEventClass.Data4[3] + 46 + + + .uuidEventClass.Data4[4] + 119 + + + .uuidEventClass.Data4[5] + 171 + + + .uuidEventClass.Data4[6] + 99 + + + .uuidEventClass.Data4[7] + 135 + + + .nEventID + E_Win32EventClass.ERROR_IO_PENDING + + + .eSeverity + TcEventSeverity.Error + + + + + TcTypeSystem + + + signature_flag + 33554432 + + + checksuperglobal + + + show + + + no-analysis + + + TcEventClass + Win32EventClass + + + + + LCLSGeneralEventClass + Log event + + Critical + Critical + Critical + + + Error + Error + Error + + + Warning + Warning + Warning + + + Info + Info + Info + + + Verbose + Verbose + Verbose + + + + + + + + + + + + + ST_LCLSGeneralEventClass + 960 - fbMotionClearAsserts - FB_MotionClearAsserts - 448 - 325184 + Critical + TcEventEntry + 192 + 0 + + + .uuidEventClass.Data1 + 2546958919 + + + .uuidEventClass.Data2 + 46492 + + + .uuidEventClass.Data3 + 20012 + + + .uuidEventClass.Data4[0] + 180 + + + .uuidEventClass.Data4[1] + 176 + + + .uuidEventClass.Data4[2] + 115 + + + .uuidEventClass.Data4[3] + 80 + + + .uuidEventClass.Data4[4] + 208 + + + .uuidEventClass.Data4[5] + 71 + + + .uuidEventClass.Data4[6] + 20 + + + .uuidEventClass.Data4[7] + 87 + + + .nEventID + E_LCLSGeneralEventClass.Critical + + + .eSeverity + TcEventSeverity.Critical + + - fbStatePMPSEnables - FB_StatePMPSEnablesND - 135552 - 325632 + Error + TcEventEntry + 192 + 192 + + + .uuidEventClass.Data1 + 2546958919 + + + .uuidEventClass.Data2 + 46492 + + + .uuidEventClass.Data3 + 20012 + + + .uuidEventClass.Data4[0] + 180 + + + .uuidEventClass.Data4[1] + 176 + + + .uuidEventClass.Data4[2] + 115 + + + .uuidEventClass.Data4[3] + 80 + + + .uuidEventClass.Data4[4] + 208 + + + .uuidEventClass.Data4[5] + 71 + + + .uuidEventClass.Data4[6] + 20 + + + .uuidEventClass.Data4[7] + 87 + + + .nEventID + E_LCLSGeneralEventClass.Error + + + .eSeverity + TcEventSeverity.Error + + - fbMiscStatesErrorFFO - FB_MiscStatesErrorFFO - 106944 - 461184 + Warning + TcEventEntry + 192 + 384 + + + .uuidEventClass.Data1 + 2546958919 + + + .uuidEventClass.Data2 + 46492 + + + .uuidEventClass.Data3 + 20012 + + + .uuidEventClass.Data4[0] + 180 + + + .uuidEventClass.Data4[1] + 176 + + + .uuidEventClass.Data4[2] + 115 + + + .uuidEventClass.Data4[3] + 80 + + + .uuidEventClass.Data4[4] + 208 + + + .uuidEventClass.Data4[5] + 71 + + + .uuidEventClass.Data4[6] + 20 + + + .uuidEventClass.Data4[7] + 87 + + + .nEventID + E_LCLSGeneralEventClass.Warning + + + .eSeverity + TcEventSeverity.Warning + + - fbPerMotorFFO - FB_PerMotorFFOND - 114048 - 568128 + Info + TcEventEntry + 192 + 576 + + + .uuidEventClass.Data1 + 2546958919 + + + .uuidEventClass.Data2 + 46492 + + + .uuidEventClass.Data3 + 20012 + + + .uuidEventClass.Data4[0] + 180 + + + .uuidEventClass.Data4[1] + 176 + + + .uuidEventClass.Data4[2] + 115 + + + .uuidEventClass.Data4[3] + 80 + + + .uuidEventClass.Data4[4] + 208 + + + .uuidEventClass.Data4[5] + 71 + + + .uuidEventClass.Data4[6] + 20 + + + .uuidEventClass.Data4[7] + 87 + + + .nEventID + E_LCLSGeneralEventClass.Info + + + .eSeverity + TcEventSeverity.Info + + - eStatePMPSStatus - E_StatePMPSStatus - 16 - 682176 + Verbose + TcEventEntry + 192 + 768 + + + .uuidEventClass.Data1 + 2546958919 + + + .uuidEventClass.Data2 + 46492 + + + .uuidEventClass.Data3 + 20012 + + + .uuidEventClass.Data4[0] + 180 + + + .uuidEventClass.Data4[1] + 176 + + + .uuidEventClass.Data4[2] + 115 + + + .uuidEventClass.Data4[3] + 80 + + + .uuidEventClass.Data4[4] + 208 + + + .uuidEventClass.Data4[5] + 71 + + + .uuidEventClass.Data4[6] + 20 + + + .uuidEventClass.Data4[7] + 87 + + + .nEventID + E_LCLSGeneralEventClass.Verbose + + + .eSeverity + TcEventSeverity.Verbose + + - PouType - FunctionBlock + TcTypeSystem + + + signature_flag + 33554432 + + + checksuperglobal + + + show + + + no-analysis + + + TcEventClass + LCLSGeneralEventClass - FB_PositionStatePMPS2D - 1541440 - - stMotionStage1 - ST_MotionStage - The 1st motor to move - 64 - 64 - - - ItemType - InOut - - - + TcIPCDiagEventClass + TcIPCDiagEventClass + + Fail + Fail - unspecified error. + Error + + + InvalidIndex + Invalid index. + Error + + + InvalidAccess + Invalid access. + Error + + + BufferTooSmall + Buffer is too small. + Error + + + TypeNotSupported + Type is not supported. + Error + + + OutOfMemory + Out of memory. + Error + + + NoDataAvailable + No data available. + Error + + + InvalidData + Invalid data. + Error + + + InvalidArgument + Invalid argument. + Error + + + OutOfRange + Value is out of range. + Error + + + ServerBusy + Server is busy. + Error + + + NotInit + MDP API is not initialized. + Error + + + NotImplemented + Not implemented. + Error + + + NotSupported + Not supported. + Error + + + + + + + ST_TcIPCDiagEventClass + 2688 - stMotionStage2 - ST_MotionStage - The 2nd motor to move - 64 - 128 - - - ItemType - InOut - - + Fail + TcEventEntry + 192 + 0 + + + .uuidEventClass.Data1 + 3432157575 + + + .uuidEventClass.Data2 + 14704 + + + .uuidEventClass.Data3 + 19700 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 57 + + + .uuidEventClass.Data4[2] + 148 + + + .uuidEventClass.Data4[3] + 107 + + + .uuidEventClass.Data4[4] + 236 + + + .uuidEventClass.Data4[5] + 18 + + + .uuidEventClass.Data4[6] + 66 + + + .uuidEventClass.Data4[7] + 45 + + + .nEventID + E_TcIPCDiagEventClass.Fail + + + .eSeverity + TcEventSeverity.Error + + - astPositionState1 - ST_PositionState - - 1 - 15 - - All possible position states for motor 1, including unused/invalid states. - 64 + InvalidIndex + TcEventEntry + 192 192 - - - ItemType - InOut - - - pytmc - - pv: STATE:M1 - io: io - expand: :%.2d - - - - - - astPositionState2 - ST_PositionState - - 1 - 15 - - All possible position states for motor 2, including unused/invalid states. - 64 - 256 - - - ItemType - InOut - - - pytmc - - pv: STATE:M2 - io: io - expand: :%.2d - - - - - - eEnumSet - UINT - Set this to a nonzero value to request a new move. It will be reset to zero every cycle. This should be hooked up to a user's EPICS enum input. - 64 - 320 - - - ItemType - InOut - - + + + .uuidEventClass.Data1 + 3432157575 + + + .uuidEventClass.Data2 + 14704 + + + .uuidEventClass.Data3 + 19700 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 57 + + + .uuidEventClass.Data4[2] + 148 + + + .uuidEventClass.Data4[3] + 107 + + + .uuidEventClass.Data4[4] + 236 + + + .uuidEventClass.Data4[5] + 18 + + + .uuidEventClass.Data4[6] + 66 + + + .uuidEventClass.Data4[7] + 45 + + + .nEventID + E_TcIPCDiagEventClass.InvalidIndex + + + .eSeverity + TcEventSeverity.Error + + - eEnumGet - UINT - The current state index, or zero if we are not at a state. This should be hooked up to a user's EPICS enum output. - 64 + InvalidAccess + TcEventEntry + 192 384 - - - ItemType - InOut - - - - - fbFFHWO - FB_HardwareFFOutput - The fast fault output to fault to. - 64 - 448 - - - ItemType - InOut - - - - - fbArbiter - FB_Arbiter - The arbiter to request beam conditions from. - 64 - 512 - - - ItemType - InOut - - + + + .uuidEventClass.Data1 + 3432157575 + + + .uuidEventClass.Data2 + 14704 + + + .uuidEventClass.Data3 + 19700 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 57 + + + .uuidEventClass.Data4[2] + 148 + + + .uuidEventClass.Data4[3] + 107 + + + .uuidEventClass.Data4[4] + 236 + + + .uuidEventClass.Data4[5] + 18 + + + .uuidEventClass.Data4[6] + 66 + + + .uuidEventClass.Data4[7] + 45 + + + .nEventID + E_TcIPCDiagEventClass.InvalidAccess + + + .eSeverity + TcEventSeverity.Error + + - bEnableMotion - BOOL - Set this to TRUE to enable input state moves, or FALSE to disable them. - 8 + BufferTooSmall + TcEventEntry + 192 576 - - - ItemType - Input - - - - - bEnableBeamParams - BOOL - Set this to TRUE to enable beam parameter checks, or FALSE to disable them. - 8 - 584 - - - ItemType - Input - - - - - bEnablePositionLimits - BOOL - Set this to TRUE to enable position limit checks, or FALSE to disable them. - 8 - 592 - - - ItemType - Input - - - - - sDeviceName - STRING(80) - The name of the device for use in the PMPS DB lookup and diagnostic screens. - 648 - 600 - - - ItemType - Input - - - - - sTransitionKey - STRING(80) - The name of the transition state in the PMPS database. - 648 - 1248 - - - ItemType - Input - - + + + .uuidEventClass.Data1 + 3432157575 + + + .uuidEventClass.Data2 + 14704 + + + .uuidEventClass.Data3 + 19700 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 57 + + + .uuidEventClass.Data4[2] + 148 + + + .uuidEventClass.Data4[3] + 107 + + + .uuidEventClass.Data4[4] + 236 + + + .uuidEventClass.Data4[5] + 18 + + + .uuidEventClass.Data4[6] + 66 + + + .uuidEventClass.Data4[7] + 45 + + + .nEventID + E_TcIPCDiagEventClass.BufferTooSmall + + + .eSeverity + TcEventSeverity.Error + + - stEpicsToPlc - ST_StateEpicsToPlc - Normal EPICS inputs, gathered into a single struct - PMPS EPICS inputs, gathered into a single struct - 32 - 1904 - - - ItemType - Input - - - pytmc - pv: STATE - - + TypeNotSupported + TcEventEntry + 192 + 768 + + + .uuidEventClass.Data1 + 3432157575 + + + .uuidEventClass.Data2 + 14704 + + + .uuidEventClass.Data3 + 19700 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 57 + + + .uuidEventClass.Data4[2] + 148 + + + .uuidEventClass.Data4[3] + 107 + + + .uuidEventClass.Data4[4] + 236 + + + .uuidEventClass.Data4[5] + 18 + + + .uuidEventClass.Data4[6] + 66 + + + .uuidEventClass.Data4[7] + 45 + + + .nEventID + E_TcIPCDiagEventClass.TypeNotSupported + + + .eSeverity + TcEventSeverity.Error + + - stPMPSEpicsToPlc - ST_StatePMPSEpicsToPlc - 16 - 1936 - - - ItemType - Input - - - pytmc - pv: STATE - - + OutOfMemory + TcEventEntry + 192 + 960 + + + .uuidEventClass.Data1 + 3432157575 + + + .uuidEventClass.Data2 + 14704 + + + .uuidEventClass.Data3 + 19700 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 57 + + + .uuidEventClass.Data4[2] + 148 + + + .uuidEventClass.Data4[3] + 107 + + + .uuidEventClass.Data4[4] + 236 + + + .uuidEventClass.Data4[5] + 18 + + + .uuidEventClass.Data4[6] + 66 + + + .uuidEventClass.Data4[7] + 45 + + + .nEventID + E_TcIPCDiagEventClass.OutOfMemory + + + .eSeverity + TcEventSeverity.Error + + - bReadDBNow - BOOL - Set this to TRUE to re-read the loaded database immediately (useful for debug) - 8 - 1952 - - - ItemType - Input - - + NoDataAvailable + TcEventEntry + 192 + 1152 + + + .uuidEventClass.Data1 + 3432157575 + + + .uuidEventClass.Data2 + 14704 + + + .uuidEventClass.Data3 + 19700 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 57 + + + .uuidEventClass.Data4[2] + 148 + + + .uuidEventClass.Data4[3] + 107 + + + .uuidEventClass.Data4[4] + 236 + + + .uuidEventClass.Data4[5] + 18 + + + .uuidEventClass.Data4[6] + 66 + + + .uuidEventClass.Data4[7] + 45 + + + .nEventID + E_TcIPCDiagEventClass.NoDataAvailable + + + .eSeverity + TcEventSeverity.Error + + - stPlcToEpics - ST_StatePlcToEpics - Normal EPICS outputs, gathered into a single struct - 768 - 1984 - - - ItemType - Output - - - pytmc - pv: STATE - - + InvalidData + TcEventEntry + 192 + 1344 + + + .uuidEventClass.Data1 + 3432157575 + + + .uuidEventClass.Data2 + 14704 + + + .uuidEventClass.Data3 + 19700 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 57 + + + .uuidEventClass.Data4[2] + 148 + + + .uuidEventClass.Data4[3] + 107 + + + .uuidEventClass.Data4[4] + 236 + + + .uuidEventClass.Data4[5] + 18 + + + .uuidEventClass.Data4[6] + 66 + + + .uuidEventClass.Data4[7] + 45 + + + .nEventID + E_TcIPCDiagEventClass.InvalidData + + + .eSeverity + TcEventSeverity.Error + + - stPMPSPlcToEpics - ST_StatePMPSPlcToEpics - PMPS EPICS outputs, gathered into a single struct - 2496 - 2752 - - - ItemType - Output - - - pytmc - pv: STATE - - + InvalidArgument + TcEventEntry + 192 + 1536 + + + .uuidEventClass.Data1 + 3432157575 + + + .uuidEventClass.Data2 + 14704 + + + .uuidEventClass.Data3 + 19700 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 57 + + + .uuidEventClass.Data4[2] + 148 + + + .uuidEventClass.Data4[3] + 107 + + + .uuidEventClass.Data4[4] + 236 + + + .uuidEventClass.Data4[5] + 18 + + + .uuidEventClass.Data4[6] + 66 + + + .uuidEventClass.Data4[7] + 45 + + + .nEventID + E_TcIPCDiagEventClass.InvalidArgument + + + .eSeverity + TcEventSeverity.Error + + - stDbStateParams - ST_DbStateParams - The PMPS database lookup associated with the current position state. - 2496 - 5248 - - - ItemType - Output - - + OutOfRange + TcEventEntry + 192 + 1728 + + + .uuidEventClass.Data1 + 3432157575 + + + .uuidEventClass.Data2 + 14704 + + + .uuidEventClass.Data3 + 19700 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 57 + + + .uuidEventClass.Data4[2] + 148 + + + .uuidEventClass.Data4[3] + 107 + + + .uuidEventClass.Data4[4] + 236 + + + .uuidEventClass.Data4[5] + 18 + + + .uuidEventClass.Data4[6] + 66 + + + .uuidEventClass.Data4[7] + 45 + + + .nEventID + E_TcIPCDiagEventClass.OutOfRange + + + .eSeverity + TcEventSeverity.Error + + - fbCore - FB_PositionStateND_Core - 609536 - 7744 + ServerBusy + TcEventEntry + 192 + 1920 + + + .uuidEventClass.Data1 + 3432157575 + + + .uuidEventClass.Data2 + 14704 + + + .uuidEventClass.Data3 + 19700 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 57 + + + .uuidEventClass.Data4[2] + 148 + + + .uuidEventClass.Data4[3] + 107 + + + .uuidEventClass.Data4[4] + 236 + + + .uuidEventClass.Data4[5] + 18 + + + .uuidEventClass.Data4[6] + 66 + + + .uuidEventClass.Data4[7] + 45 + + + .nEventID + E_TcIPCDiagEventClass.ServerBusy + + + .eSeverity + TcEventSeverity.Error + + - fbPMPSCore - FB_PositionStatePMPSND_Core - 682240 - 617280 + NotInit + TcEventEntry + 192 + 2112 + + + .uuidEventClass.Data1 + 3432157575 + + + .uuidEventClass.Data2 + 14704 + + + .uuidEventClass.Data3 + 19700 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 57 + + + .uuidEventClass.Data4[2] + 148 + + + .uuidEventClass.Data4[3] + 107 + + + .uuidEventClass.Data4[4] + 236 + + + .uuidEventClass.Data4[5] + 18 + + + .uuidEventClass.Data4[6] + 66 + + + .uuidEventClass.Data4[7] + 45 + + + .nEventID + E_TcIPCDiagEventClass.NotInit + + + .eSeverity + TcEventSeverity.Error + + - astMotionStageMax - ST_MotionStage - - 1 - 3 - - 77760 - 1299520 + NotImplemented + TcEventEntry + 192 + 2304 + + + .uuidEventClass.Data1 + 3432157575 + + + .uuidEventClass.Data2 + 14704 + + + .uuidEventClass.Data3 + 19700 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 57 + + + .uuidEventClass.Data4[2] + 148 + + + .uuidEventClass.Data4[3] + 107 + + + .uuidEventClass.Data4[4] + 236 + + + .uuidEventClass.Data4[5] + 18 + + + .uuidEventClass.Data4[6] + 66 + + + .uuidEventClass.Data4[7] + 45 + + + .nEventID + E_TcIPCDiagEventClass.NotImplemented + + + .eSeverity + TcEventSeverity.Error + + - astPositionStateMax - ST_PositionState - - 1 - 3 - - - 1 - 15 - - 164160 - 1377280 + NotSupported + TcEventEntry + 192 + 2496 + + + .uuidEventClass.Data1 + 3432157575 + + + .uuidEventClass.Data2 + 14704 + + + .uuidEventClass.Data3 + 19700 + + + .uuidEventClass.Data4[0] + 143 + + + .uuidEventClass.Data4[1] + 57 + + + .uuidEventClass.Data4[2] + 148 + + + .uuidEventClass.Data4[3] + 107 + + + .uuidEventClass.Data4[4] + 236 + + + .uuidEventClass.Data4[5] + 18 + + + .uuidEventClass.Data4[6] + 66 + + + .uuidEventClass.Data4[7] + 45 + + + .nEventID + E_TcIPCDiagEventClass.NotSupported + + + .eSeverity + TcEventSeverity.Error + + - PouType - FunctionBlock + TcTypeSystem + + + signature_flag + 33554432 + + + checksuperglobal + + + show + + + no-analysis + + + TcEventClass + TcIPCDiagEventClass - FB_PositionStatePMPS1D - 1541312 - - stMotionStage - ST_MotionStage - The motor to move. - 64 - 64 - - - ItemType - InOut - - - - - astPositionState - ST_PositionState - - 1 - 15 - - All possible position states, including unused/invalid states. - 64 - 128 - - - ItemType - InOut - - - pytmc - - pv: STATE - io: io - expand: :%.2d - - - - - - eEnumSet - UINT - Set this to a nonzero value to request a new move. It will be reset to zero every cycle. This should be hooked up to a user's EPICS enum input. - 64 - 192 - - - ItemType - InOut - - - - - eEnumGet - UINT - The current state index, or zero if we are not at a state. This should be hooked up to a user's EPICS enum output. - 64 - 256 - - - ItemType - InOut - - - - - fbFFHWO - FB_HardwareFFOutput - The fast fault output to fault to. - 64 - 320 - - - ItemType - InOut - - - - - fbArbiter - FB_Arbiter - The arbiter to request beam conditions from. - 64 - 384 - - - ItemType - InOut - - - - - bEnableMotion - BOOL - Set this to TRUE to enable input state moves, or FALSE to disable them. - 8 - 448 - - - ItemType - Input - - - - - bEnableBeamParams - BOOL - Set this to TRUE to enable beam parameter checks, or FALSE to disable them. - 8 - 456 - - - ItemType - Input - - - - - bEnablePositionLimits - BOOL - Set this to TRUE to enable position limit checks, or FALSE to disable them. - 8 - 464 - - - ItemType - Input - - - - - sDeviceName - STRING(80) - The name of the device for use in the PMPS DB lookup and diagnostic screens. - 648 - 472 - - - ItemType - Input - - - - - sTransitionKey - STRING(80) - The name of the transition state in the PMPS database. - 648 - 1120 - - - ItemType - Input - - - - - stEpicsToPlc - ST_StateEpicsToPlc - Normal EPICS inputs, gathered into a single struct - 32 - 1776 - - - ItemType - Input - - - pytmc - pv: STATE - - - - - stPMPSEpicsToPlc - ST_StatePMPSEpicsToPlc - PMPS EPICS inputs, gathered into a single struct - 16 - 1808 - - - ItemType - Input - - - pytmc - pv: STATE - - - + TcIPCDiagPlcApiEventClass + TcIPCDiagPlcApiEventClass + + InvalidModList + Invalid module list (initialization of IPCDiag required). + Error + + + UnavailableModule + Module of parameter is unavailable (not existing). + Error + + + UnavailableParameter + Parameter is unavailable (not existing). + Error + + + NoValidData + No valid parameter value available (reading is pending or failed). + Error + + + InvalidBuffer + Allocated buffer is invalid (pointer is zero or buffer size is too small). + Error + + + InvalidIndex + Allocated index is invalid. + Error + + + BadParameterValues + Error that some of the requested parameter values returned an error (use GetParameterByIdx). + Error + + + BadParameterValues_Info + Info that some of the requested parameter values returned an error (use GetParameterByIdx). + Info + + + + + + + ST_TcIPCDiagPlcApiEventClass + 1536 - bReadDBNow - BOOL - Set this to TRUE to re-read the loaded database immediately (useful for debug) - 8 - 1824 - - - ItemType - Input - - + InvalidModList + TcEventEntry + 192 + 0 + + + .uuidEventClass.Data1 + 539127628 + + + .uuidEventClass.Data2 + 21690 + + + .uuidEventClass.Data3 + 18335 + + + .uuidEventClass.Data4[0] + 186 + + + .uuidEventClass.Data4[1] + 72 + + + .uuidEventClass.Data4[2] + 251 + + + .uuidEventClass.Data4[3] + 229 + + + .uuidEventClass.Data4[4] + 45 + + + .uuidEventClass.Data4[5] + 14 + + + .uuidEventClass.Data4[6] + 156 + + + .uuidEventClass.Data4[7] + 213 + + + .nEventID + E_TcIPCDiagPlcApiEventClass.InvalidModList + + + .eSeverity + TcEventSeverity.Error + + - stPlcToEpics - ST_StatePlcToEpics - Normal EPICS outputs, gathered into a single struct - 768 - 1856 - - - ItemType - Output - - - pytmc - pv: STATE - - + UnavailableModule + TcEventEntry + 192 + 192 + + + .uuidEventClass.Data1 + 539127628 + + + .uuidEventClass.Data2 + 21690 + + + .uuidEventClass.Data3 + 18335 + + + .uuidEventClass.Data4[0] + 186 + + + .uuidEventClass.Data4[1] + 72 + + + .uuidEventClass.Data4[2] + 251 + + + .uuidEventClass.Data4[3] + 229 + + + .uuidEventClass.Data4[4] + 45 + + + .uuidEventClass.Data4[5] + 14 + + + .uuidEventClass.Data4[6] + 156 + + + .uuidEventClass.Data4[7] + 213 + + + .nEventID + E_TcIPCDiagPlcApiEventClass.UnavailableModule + + + .eSeverity + TcEventSeverity.Error + + - stPMPSPlcToEpics - ST_StatePMPSPlcToEpics - PMPS EPICS outputs, gathered into a single struct - 2496 - 2624 - - - ItemType - Output - - - pytmc - pv: STATE - - + UnavailableParameter + TcEventEntry + 192 + 384 + + + .uuidEventClass.Data1 + 539127628 + + + .uuidEventClass.Data2 + 21690 + + + .uuidEventClass.Data3 + 18335 + + + .uuidEventClass.Data4[0] + 186 + + + .uuidEventClass.Data4[1] + 72 + + + .uuidEventClass.Data4[2] + 251 + + + .uuidEventClass.Data4[3] + 229 + + + .uuidEventClass.Data4[4] + 45 + + + .uuidEventClass.Data4[5] + 14 + + + .uuidEventClass.Data4[6] + 156 + + + .uuidEventClass.Data4[7] + 213 + + + .nEventID + E_TcIPCDiagPlcApiEventClass.UnavailableParameter + + + .eSeverity + TcEventSeverity.Error + + - stDbStateParams - ST_DbStateParams - The PMPS database lookup associated with the current position state. - 2496 - 5120 - - - ItemType - Output - - + NoValidData + TcEventEntry + 192 + 576 + + + .uuidEventClass.Data1 + 539127628 + + + .uuidEventClass.Data2 + 21690 + + + .uuidEventClass.Data3 + 18335 + + + .uuidEventClass.Data4[0] + 186 + + + .uuidEventClass.Data4[1] + 72 + + + .uuidEventClass.Data4[2] + 251 + + + .uuidEventClass.Data4[3] + 229 + + + .uuidEventClass.Data4[4] + 45 + + + .uuidEventClass.Data4[5] + 14 + + + .uuidEventClass.Data4[6] + 156 + + + .uuidEventClass.Data4[7] + 213 + + + .nEventID + E_TcIPCDiagPlcApiEventClass.NoValidData + + + .eSeverity + TcEventSeverity.Error + + - fbCore - FB_PositionStateND_Core - 609536 - 7616 + InvalidBuffer + TcEventEntry + 192 + 768 + + + .uuidEventClass.Data1 + 539127628 + + + .uuidEventClass.Data2 + 21690 + + + .uuidEventClass.Data3 + 18335 + + + .uuidEventClass.Data4[0] + 186 + + + .uuidEventClass.Data4[1] + 72 + + + .uuidEventClass.Data4[2] + 251 + + + .uuidEventClass.Data4[3] + 229 + + + .uuidEventClass.Data4[4] + 45 + + + .uuidEventClass.Data4[5] + 14 + + + .uuidEventClass.Data4[6] + 156 + + + .uuidEventClass.Data4[7] + 213 + + + .nEventID + E_TcIPCDiagPlcApiEventClass.InvalidBuffer + + + .eSeverity + TcEventSeverity.Error + + - fbPMPSCore - FB_PositionStatePMPSND_Core - 682240 - 617152 + InvalidIndex + TcEventEntry + 192 + 960 + + + .uuidEventClass.Data1 + 539127628 + + + .uuidEventClass.Data2 + 21690 + + + .uuidEventClass.Data3 + 18335 + + + .uuidEventClass.Data4[0] + 186 + + + .uuidEventClass.Data4[1] + 72 + + + .uuidEventClass.Data4[2] + 251 + + + .uuidEventClass.Data4[3] + 229 + + + .uuidEventClass.Data4[4] + 45 + + + .uuidEventClass.Data4[5] + 14 + + + .uuidEventClass.Data4[6] + 156 + + + .uuidEventClass.Data4[7] + 213 + + + .nEventID + E_TcIPCDiagPlcApiEventClass.InvalidIndex + + + .eSeverity + TcEventSeverity.Error + + - astMotionStageMax - ST_MotionStage - - 1 - 3 - - 77760 - 1299392 + BadParameterValues + TcEventEntry + 192 + 1152 + + + .uuidEventClass.Data1 + 539127628 + + + .uuidEventClass.Data2 + 21690 + + + .uuidEventClass.Data3 + 18335 + + + .uuidEventClass.Data4[0] + 186 + + + .uuidEventClass.Data4[1] + 72 + + + .uuidEventClass.Data4[2] + 251 + + + .uuidEventClass.Data4[3] + 229 + + + .uuidEventClass.Data4[4] + 45 + + + .uuidEventClass.Data4[5] + 14 + + + .uuidEventClass.Data4[6] + 156 + + + .uuidEventClass.Data4[7] + 213 + + + .nEventID + E_TcIPCDiagPlcApiEventClass.BadParameterValues + + + .eSeverity + TcEventSeverity.Error + + - astPositionStateMax - ST_PositionState - - 1 - 3 - - - 1 - 15 - - 164160 - 1377152 + BadParameterValues_Info + TcEventEntry + 192 + 1344 + + + .uuidEventClass.Data1 + 539127628 + + + .uuidEventClass.Data2 + 21690 + + + .uuidEventClass.Data3 + 18335 + + + .uuidEventClass.Data4[0] + 186 + + + .uuidEventClass.Data4[1] + 72 + + + .uuidEventClass.Data4[2] + 251 + + + .uuidEventClass.Data4[3] + 229 + + + .uuidEventClass.Data4[4] + 45 + + + .uuidEventClass.Data4[5] + 14 + + + .uuidEventClass.Data4[6] + 156 + + + .uuidEventClass.Data4[7] + 213 + + + .nEventID + E_TcIPCDiagPlcApiEventClass.BadParameterValues_Info + + + .eSeverity + TcEventSeverity.Info + + - PouType - FunctionBlock + TcTypeSystem + + + signature_flag + 33554432 + + + checksuperglobal + + + show + + + no-analysis + + + TcEventClass + TcIPCDiagPlcApiEventClass @@ -82254,7 +82254,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t 0 SerialIO Inputs 0 - 165216256 + 164888576 GVL_SerialIO.Serial_stComIn_M1K2 Better have your inputs and outputs! @@ -82270,14 +82270,14 @@ The BPTM will throw an error if the arbiter does not have enough space for the t TcVarGlobal - 1295628736 + 1300934944 1 SerialIO Outputs 0 - 165216256 + 164888576 GVL_SerialIO.Serial_stComOut_M1K2 192 @@ -82291,19 +82291,19 @@ The BPTM will throw an error if the arbiter does not have enough space for the t TcVarGlobal - 1295628928 + 1300935136 3 SerialIO Internal 0 - 165216256 + 164888576 P_Serial_Com.fbSerialLineControl_EL6001_M1K2 10752 SerialLineControl - 1271636672 + 1271719424 GVL_COM_Buffers.Serial_RXBuffer_M1K2 @@ -82315,7 +82315,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t TcVarGlobal - 1293576192 + 1298882432 GVL_COM_Buffers.Serial_TXBuffer_M1K2 @@ -82326,7 +82326,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t TcVarGlobal - 1293578704 + 1298884944 TwinCAT_SystemInfoVarList._AppInfo @@ -82340,7 +82340,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t TcVarGlobal - 1304135872 + 1309442048 TwinCAT_SystemInfoVarList._TaskPouOid_SerialIO @@ -82354,7 +82354,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t TcVarGlobal - 1304143040 + 1309449216 TwinCAT_SystemInfoVarList._TaskOid_SerialIO @@ -82368,7 +82368,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t TcVarGlobal - 1304143072 + 1309449248 TwinCAT_SystemInfoVarList.__SerialIO @@ -82389,14 +82389,14 @@ The BPTM will throw an error if the arbiter does not have enough space for the t TcVarGlobal - 1304143360 + 1309449536 16 StatsTask Inputs 1 - 165216256 + 164888576 Main.M7.Axis.NcToPlc 2048 @@ -82407,20 +82407,20 @@ The BPTM will throw an error if the arbiter does not have enough space for the t Input - 1297110016 + 1302416256 19 StatsTask Internal 1 - 165216256 + 164888576 PRG_Stats.fGpiEncoderPosDiff SP1K1 Grating Mono Vibration Stats 64 LREAL - 1271648064 + 1271730816 PRG_Stats.afGpiPosDiffBuffer @@ -82430,7 +82430,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t 1 1000 - 1271648128 + 1271730880 PRG_Stats.afGpiExtraBuffer @@ -82440,13 +82440,13 @@ The BPTM will throw an error if the arbiter does not have enough space for the t 1 1000 - 1271712128 + 1271794880 PRG_Stats.fbGpiPosDiffCollect 448 FB_DataBuffer - 1271776128 + 1271858880 PRG_Stats.fbGpiPosDiffStats @@ -82460,25 +82460,25 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - 1271776576 + 1271859328 PRG_Stats.fGpiRangeMax 64 LREAL - 1271777728 + 1271860480 PRG_Stats.rtNewGpiMove 128 R_TRIG - 1271777792 + 1271860544 PRG_Stats.tonNewGpiMove 256 TON - 1271777920 + 1271860672 Main.M7 @@ -82516,7 +82516,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t TcVarGlobal - 1297108928 + 1302415168 TwinCAT_SystemInfoVarList._TaskPouOid_StatsTask @@ -82530,7 +82530,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t TcVarGlobal - 1304143104 + 1309449280 TwinCAT_SystemInfoVarList._TaskOid_StatsTask @@ -82544,7 +82544,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t TcVarGlobal - 1304143136 + 1309449312 TwinCAT_SystemInfoVarList.__StatsTask @@ -82565,20 +82565,20 @@ The BPTM will throw an error if the arbiter does not have enough space for the t TcVarGlobal - 1304144256 + 1309450432 35 PiezoDriver Internal 2 - 165216256 + 164888576 PiezoSerial.fbE621SerialDriver_M1K2 PI Serial 112640 FB_PI_E621_SerialDriver - 1264934016 + 1265016832 GVL_M1K2.M1K2_Pitch @@ -82613,7 +82613,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t TcVarGlobal - 1293584448 + 1298890688 TwinCAT_SystemInfoVarList._TaskPouOid_PiezoDriver @@ -82627,7 +82627,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t TcVarGlobal - 1304143168 + 1309449344 TwinCAT_SystemInfoVarList._TaskOid_PiezoDriver @@ -82641,7 +82641,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t TcVarGlobal - 1304143200 + 1309449376 TwinCAT_SystemInfoVarList.__PiezoDriver @@ -82662,14 +82662,14 @@ The BPTM will throw an error if the arbiter does not have enough space for the t TcVarGlobal - 1304145152 + 1309451328 48 DaqTask Inputs 3 - 165216256 + 164888576 PRG_DAQ_ENCODER.iLatchPos Inputs @@ -82685,7 +82685,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t Input - 1265050816 + 1265133632 PRG_DAQ_ENCODER.iLatchNeg @@ -82701,7 +82701,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t Input - 1265050880 + 1265133696 PRG_DAQ_ENCODER.nEncoderCount @@ -82717,14 +82717,14 @@ The BPTM will throw an error if the arbiter does not have enough space for the t Input - 1265050944 + 1265133760 51 DaqTask Internal 3 - 165216256 + 164888576 GVL_Logger.sIpTidbit 56 @@ -82849,7 +82849,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t true - 1265051008 + 1264978672 PRG_DAQ_ENCODER.bSendToTest @@ -82858,7 +82858,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t false - 1265051016 + 1264978680 PRG_DAQ_ENCODER.sTestHost @@ -82868,7 +82868,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t 172.21.140.21 - 1265051024 + 1265133824 PRG_DAQ_ENCODER.bUseHWTriggers @@ -82877,7 +82877,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t true - 1265051672 + 1265134472 PRG_DAQ_ENCODER.bUseSWTriggers @@ -82886,29 +82886,14 @@ The BPTM will throw an error if the arbiter does not have enough space for the t false - 1265051680 + 1265134480 PRG_DAQ_ENCODER.bNewTrigger Internals 8 BOOL - 1265051688 - - - PRG_DAQ_ENCODER.nFrameCount - 16 - UINT - - - pytmc - - pv: SP1K1:MONO:DAQ:FRAME_COUNT - io: i - - - - 1265051696 + 1265134488 PRG_DAQ_ENCODER.tSWTriggerDelay @@ -82917,20 +82902,20 @@ The BPTM will throw an error if the arbiter does not have enough space for the t T#8ms - 1265051712 + 1265134496 PRG_DAQ_ENCODER.iTimeSincePos Outputs 64 ULINT - 1265051776 + 1265134528 PRG_DAQ_ENCODER.iMaxTime 64 ULINT - 1265051840 + 1265134592 PRG_DAQ_ENCODER.iMinTime @@ -82939,19 +82924,19 @@ The BPTM will throw an error if the arbiter does not have enough space for the t 10000000000 - 1265051904 + 1265134656 PRG_DAQ_ENCODER.fTimeInS 64 LREAL - 1265051968 + 1265134720 PRG_DAQ_ENCODER.iTriggerWidth 64 ULINT - 1265052032 + 1265134784 PRG_DAQ_ENCODER.fTriggerRate @@ -82966,25 +82951,25 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - 1265052096 + 1265134848 PRG_DAQ_ENCODER.tonSWTrigger 256 TON - 1265052160 + 1265134912 PRG_DAQ_ENCODER.iPrevLatchPos 64 ULINT - 1265052416 + 1265135168 PRG_DAQ_ENCODER.fMaxTimeInS 64 LREAL - 1265052480 + 1265135232 PRG_DAQ_ENCODER.fMinTimeInS @@ -82993,79 +82978,94 @@ The BPTM will throw an error if the arbiter does not have enough space for the t 10000000 - 1265052544 + 1265135296 PRG_DAQ_ENCODER.iTimeSinceLast 64 ULINT - 1265052608 + 1265135360 PRG_DAQ_ENCODER.nUpdateCycles 64 ULINT - 1265052672 + 1265135424 + + + PRG_DAQ_ENCODER.nFrameCount + 16 + UINT + + + pytmc + + pv: SP1K1:MONO:DAQ:FRAME_COUNT + io: i + + + + 1265135488 PRG_DAQ_ENCODER.stTaskInfo 1024 PlcTaskSystemInfo - 1265052736 + 1265135552 PRG_DAQ_ENCODER.iUnderflowCount 64 ULINT - 1265053760 + 1265136576 PRG_DAQ_ENCODER.fUnderflowPercent 64 LREAL - 1265053824 + 1265136640 PRG_DAQ_ENCODER.fEncScale 64 LREAL - 1265053888 + 1265136704 PRG_DAQ_ENCODER.fEncScaleDenominator 64 LREAL - 1265053952 + 1265136768 PRG_DAQ_ENCODER.fbSocketHandler 110592 FB_UDPSocketHandler - 1265054016 + 1265136832 PRG_DAQ_ENCODER.fbSocketSend 275200 FB_BufferedSocketSend - 1265164608 + 1265247424 PRG_DAQ_ENCODER.fbSocketHandlerTest 110592 FB_UDPSocketHandler - 1265439808 + 1265522624 PRG_DAQ_ENCODER.fbSocketSendTest 275200 FB_BufferedSocketSend - 1265550400 + 1265633216 PRG_DAQ_ENCODER.payload 512 DUT_01_Channel_NW - 1265825600 + 1265908416 PRG_DAQ_ENCODER.fbHeader @@ -83077,7 +83077,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t plc-tst-proto6 - 1265826112 + 1265908928 PRG_DAQ_ENCODER.fbChannel @@ -83089,14 +83089,14 @@ The BPTM will throw an error if the arbiter does not have enough space for the t 1 - 1265826688 + 1265909504 PRG_DAQ_ENCODER.fbGetTaskIndex Function blocks 256 GETCURTASKINDEX - 1265827520 + 1265910336 PRG_DAQ_ENCODER.fEpicsEncCount @@ -83112,7 +83112,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - 1265827808 + 1265910592 PRG_DAQ_ENCODER.fEpicsTrigWidth @@ -83127,7 +83127,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t - 1265827840 + 1265910624 TwinCAT_SystemInfoVarList._TaskInfo @@ -83145,7 +83145,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t TcVarGlobal - 1304137920 + 1309444096 TwinCAT_SystemInfoVarList._TaskPouOid_DaqTask @@ -83159,7 +83159,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t TcVarGlobal - 1304143232 + 1309449408 TwinCAT_SystemInfoVarList._TaskOid_DaqTask @@ -83173,7 +83173,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t TcVarGlobal - 1304143264 + 1309449440 TwinCAT_SystemInfoVarList.__DaqTask @@ -83194,14 +83194,14 @@ The BPTM will throw an error if the arbiter does not have enough space for the t TcVarGlobal - 1304146048 + 1309452224 64 PlcTask Inputs 4 - 165216256 + 164888576 lcls_twincat_optics.GVL_TestStructs.TestPitch_LimitSwitches.diEncCnt Raw encoder count @@ -83213,7 +83213,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t Input - 1264816320 + 1264886784 PRG_MR1K1_BEND.M1K1.fbRunHOMS.bSTOEnable1 @@ -83226,7 +83226,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t Input - 1273068736 + 1273162048 PRG_MR1K1_BEND.M1K1.fbRunHOMS.bSTOEnable2 @@ -83238,7 +83238,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t Input - 1273068744 + 1273162056 PRG_MR1K1_BEND.M1K1.fbRunHOMS.stYupEnc @@ -83251,7 +83251,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t Input - 1273068800 + 1273162112 PRG_MR1K1_BEND.M1K1.fbRunHOMS.stYdwnEnc @@ -83263,7 +83263,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t Input - 1273068928 + 1273162240 PRG_MR1K1_BEND.M1K1.fbRunHOMS.stXupEnc @@ -83275,7 +83275,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t Input - 1273069056 + 1273162368 PRG_MR1K1_BEND.M1K1.fbRunHOMS.stXdwnEnc @@ -83287,7 +83287,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t Input - 1273069184 + 1273162496 PRG_MR1K1_BEND.M1K1.fbRunHOMS.fbAutoCoupleY.gantry_diff_limit.PEnc.Count @@ -83300,7 +83300,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t Input - 1273069952 + 1273163264 PRG_MR1K1_BEND.M1K1.fbRunHOMS.fbAutoCoupleY.gantry_diff_limit.SEnc.Count @@ -83313,7 +83313,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t Input - 1273070080 + 1273163392 PRG_MR1K1_BEND.M1K1.fbRunHOMS.fbAutoCoupleX.gantry_diff_limit.PEnc.Count @@ -83326,7 +83326,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t Input - 1273080704 + 1273174016 PRG_MR1K1_BEND.M1K1.fbRunHOMS.fbAutoCoupleX.gantry_diff_limit.SEnc.Count @@ -83339,7 +83339,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t Input - 1273080832 + 1273174144 PRG_MR1K1_BEND.fbM1K1PitchControl.fbMotionStage.fbDriveVirtual.MasterAxis.NcToPlc @@ -83351,7 +83351,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t Input - 1274319552 + 1274412864 PRG_MR1K1_BEND.fbMotionStage_m16.fbDriveVirtual.MasterAxis.NcToPlc @@ -83363,7 +83363,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t Input - 1274654528 + 1274747904 PRG_MR1K1_BEND.bMR1K1_Y_ENC_Ready @@ -83379,7 +83379,7 @@ The BPTM will throw an error if the arbiter does not have enough space for the t Input - 1274984800 + 1275073008 PRG_MR1K1_BEND.bMR1K1_Y_ENC_TxPDO @@ -83395,45 +83395,150 @@ The BPTM will throw an error if the arbiter does not have enough space for the t Input - 1274984808 + 1275073016 - PRG_MR1K1_BEND_BENDER.M1K1BENDbSTOEnable1 - Emergency Stop for MR1K1 -Emergency Stop for MR1K1 + PRG_MR1K1_BEND.fM1K1_Flow_1.iRaw + Connect this input to the terminal + 16 + INT + + + TcAddressType + Input + + + 1275078272 + + + PRG_MR1K1_BEND.fM1K1_Flow_2.iRaw + Connect this input to the terminal + 16 + INT + + + TcAddressType + Input + + + 1275078848 + + + PRG_MR1K1_BEND.fM1K1_Press_1.iRaw + Connect this input to the terminal + 16 + INT + + + TcAddressType + Input + + + 1275079424 + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].Axis.NcToPlc + 2048 + NCTOPLC_AXIS_REF + + + TcAddressType + Input + + + 1276380544 + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].bLimitForwardEnable + NC Forward Limit Switch: TRUE if ok to move 8 BOOL - TcLinkTo - TIID^Device 1 (EtherCAT)^Term 1 (EK1200)^Term 5 (EK1122)^EK1100_MR1K1_BEND^EL1004_M1K1_BENDER_STO^Channel 1^Input + TcAddressType + Input + + 1276388480 + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].bLimitBackwardEnable + NC Backward Limit Switch: TRUE if ok to move + 8 + BOOL + TcAddressType Input - 1274984816 + 1276388488 - PRG_MR1K1_BEND_BENDER.M1K1BENDbSTOEnable2 + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].bHome + NO Home Switch: TRUE if at home 8 BOOL - TcLinkTo - TIID^Device 1 (EtherCAT)^Term 1 (EK1200)^Term 5 (EK1122)^EK1100_MR1K1_BEND^EL1004_M1K1_BENDER_STO^Channel 2^Input + TcAddressType + Input + + + 1276388496 + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].bHardwareEnable + NC STO Input: TRUE if ok to move + 8 + BOOL + + + pytmc + + pv: PLC:bHardwareEnable + io: i + field: ZNAM FALSE + field: ONAM TRUE + field: DESC TRUE if STO not hit + TcAddressType Input - 1274984824 + 1276388512 - PRG_MR1K1_BEND.fM1K1_Flow_1.iRaw - Connect this input to the terminal + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].nRawEncoderULINT + Raw encoder IO for ULINT (Biss-C) + 64 + ULINT + + + TcAddressType + Input + + + 1276388544 + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].nRawEncoderUINT + Raw encoder IO for UINT (Relative Encoders) + 16 + UINT + + + TcAddressType + Input + + + 1276388608 + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].nRawEncoderINT + Raw encoder IO for INT (LVDT) 16 INT @@ -83442,11 +83547,111 @@ Emergency Stop for MR1K1 Input - 1274984896 + 1276388624 - PRG_MR1K1_BEND.fM1K1_Flow_2.iRaw - Connect this input to the terminal + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].Axis.NcToPlc + 2048 + NCTOPLC_AXIS_REF + + + TcAddressType + Input + + + 1276406464 + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].bLimitForwardEnable + NC Forward Limit Switch: TRUE if ok to move + 8 + BOOL + + + TcAddressType + Input + + + 1276414400 + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].bLimitBackwardEnable + NC Backward Limit Switch: TRUE if ok to move + 8 + BOOL + + + TcAddressType + Input + + + 1276414408 + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].bHome + NO Home Switch: TRUE if at home + 8 + BOOL + + + TcAddressType + Input + + + 1276414416 + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].bHardwareEnable + NC STO Input: TRUE if ok to move + 8 + BOOL + + + pytmc + + pv: PLC:bHardwareEnable + io: i + field: ZNAM FALSE + field: ONAM TRUE + field: DESC TRUE if STO not hit + + + + TcAddressType + Input + + + 1276414432 + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].nRawEncoderULINT + Raw encoder IO for ULINT (Biss-C) + 64 + ULINT + + + TcAddressType + Input + + + 1276414464 + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].nRawEncoderUINT + Raw encoder IO for UINT (Relative Encoders) + 16 + UINT + + + TcAddressType + Input + + + 1276414528 + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].nRawEncoderINT + Raw encoder IO for INT (LVDT) 16 INT @@ -83455,11 +83660,111 @@ Emergency Stop for MR1K1 Input - 1274985472 + 1276414544 - PRG_MR1K1_BEND.fM1K1_Press_1.iRaw - Connect this input to the terminal + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].Axis.NcToPlc + 2048 + NCTOPLC_AXIS_REF + + + TcAddressType + Input + + + 1276432384 + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].bLimitForwardEnable + NC Forward Limit Switch: TRUE if ok to move + 8 + BOOL + + + TcAddressType + Input + + + 1276440320 + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].bLimitBackwardEnable + NC Backward Limit Switch: TRUE if ok to move + 8 + BOOL + + + TcAddressType + Input + + + 1276440328 + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].bHome + NO Home Switch: TRUE if at home + 8 + BOOL + + + TcAddressType + Input + + + 1276440336 + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].bHardwareEnable + NC STO Input: TRUE if ok to move + 8 + BOOL + + + pytmc + + pv: PLC:bHardwareEnable + io: i + field: ZNAM FALSE + field: ONAM TRUE + field: DESC TRUE if STO not hit + + + + TcAddressType + Input + + + 1276440352 + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].nRawEncoderULINT + Raw encoder IO for ULINT (Biss-C) + 64 + ULINT + + + TcAddressType + Input + + + 1276440384 + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].nRawEncoderUINT + Raw encoder IO for UINT (Relative Encoders) + 16 + UINT + + + TcAddressType + Input + + + 1276440448 + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].nRawEncoderINT + Raw encoder IO for INT (LVDT) 16 INT @@ -83468,7 +83773,41 @@ Emergency Stop for MR1K1 Input - 1274986048 + 1276440464 + + + PRG_MR1K1_BEND_BENDER.M1K1BENDbSTOEnable1 + Emergency Stop for MR1K1 +Emergency Stop for MR1K1 + 8 + BOOL + + + TcLinkTo + TIID^Device 1 (EtherCAT)^Term 1 (EK1200)^Term 5 (EK1122)^EK1100_MR1K1_BEND^EL1004_M1K1_BENDER_STO^Channel 1^Input + + + TcAddressType + Input + + + 1277690944 + + + PRG_MR1K1_BEND_BENDER.M1K1BENDbSTOEnable2 + 8 + BOOL + + + TcLinkTo + TIID^Device 1 (EtherCAT)^Term 1 (EK1200)^Term 5 (EK1122)^EK1100_MR1K1_BEND^EL1004_M1K1_BENDER_STO^Channel 2^Input + + + TcAddressType + Input + + + 1277690952 PRG_MR1K1_BEND_BENDER.bM1K1US_RTD_1_Err @@ -83481,7 +83820,7 @@ Emergency Stop for MR1K1 Input - 1275762176 + 1277690960 PRG_MR1K1_BEND_BENDER.bM1K1US_RTD_2_Err @@ -83493,7 +83832,7 @@ Emergency Stop for MR1K1 Input - 1275762184 + 1277690968 PRG_MR1K1_BEND_BENDER.bM1K1US_RTD_3_Err @@ -83505,7 +83844,7 @@ Emergency Stop for MR1K1 Input - 1275762192 + 1277691168 PRG_MR1K1_BEND_BENDER.bM1K1DS_RTD_1_Err @@ -83517,7 +83856,7 @@ Emergency Stop for MR1K1 Input - 1275762200 + 1277691176 PRG_MR1K1_BEND_BENDER.bM1K1DS_RTD_2_Err @@ -83529,7 +83868,7 @@ Emergency Stop for MR1K1 Input - 1275762208 + 1277691184 PRG_MR1K1_BEND_BENDER.bM1K1DS_RTD_3_Err @@ -83541,7 +83880,7 @@ Emergency Stop for MR1K1 Input - 1275762216 + 1277691192 PRG_MR1K2_SWITCH.M1K2.fbRunHOMS.bSTOEnable1 @@ -83554,7 +83893,7 @@ Emergency Stop for MR1K1 Input - 1281587712 + 1283517120 PRG_MR1K2_SWITCH.M1K2.fbRunHOMS.bSTOEnable2 @@ -83566,7 +83905,7 @@ Emergency Stop for MR1K1 Input - 1281587720 + 1283517128 PRG_MR1K2_SWITCH.M1K2.fbRunHOMS.stYupEnc @@ -83579,7 +83918,7 @@ Emergency Stop for MR1K1 Input - 1281587776 + 1283517184 PRG_MR1K2_SWITCH.M1K2.fbRunHOMS.stYdwnEnc @@ -83591,7 +83930,7 @@ Emergency Stop for MR1K1 Input - 1281587904 + 1283517312 PRG_MR1K2_SWITCH.M1K2.fbRunHOMS.stXupEnc @@ -83603,7 +83942,7 @@ Emergency Stop for MR1K1 Input - 1281588032 + 1283517440 PRG_MR1K2_SWITCH.M1K2.fbRunHOMS.stXdwnEnc @@ -83615,7 +83954,7 @@ Emergency Stop for MR1K1 Input - 1281588160 + 1283517568 PRG_MR1K2_SWITCH.M1K2.fbRunHOMS.fbAutoCoupleY.gantry_diff_limit.PEnc.Count @@ -83628,7 +83967,7 @@ Emergency Stop for MR1K1 Input - 1281588928 + 1283518336 PRG_MR1K2_SWITCH.M1K2.fbRunHOMS.fbAutoCoupleY.gantry_diff_limit.SEnc.Count @@ -83641,7 +83980,7 @@ Emergency Stop for MR1K1 Input - 1281589056 + 1283518464 PRG_MR1K2_SWITCH.M1K2.fbRunHOMS.fbAutoCoupleX.gantry_diff_limit.PEnc.Count @@ -83654,7 +83993,7 @@ Emergency Stop for MR1K1 Input - 1281599680 + 1283529088 PRG_MR1K2_SWITCH.M1K2.fbRunHOMS.fbAutoCoupleX.gantry_diff_limit.SEnc.Count @@ -83667,7 +84006,7 @@ Emergency Stop for MR1K1 Input - 1281599808 + 1283529216 PRG_MR1K2_SWITCH.fbM1K2PitchControl.fbMotionStage.fbDriveVirtual.MasterAxis.NcToPlc @@ -83679,40 +84018,7 @@ Emergency Stop for MR1K1 Input - 1282838528 - - - PRG_SP1K1_MONO.bSTOEnable1 - Where is the STO - 8 - BOOL - - - TcLinkTo - TIID^Device 1 (EtherCAT)^Term 1 (EK1200)^E5 (EK1122)^X1 SP1K1-MONO(EK1100)^SP1K1-EL1004-E17^Channel 1^Input - - - TcAddressType - Input - - - 1283170896 - - - PRG_SP1K1_MONO.bSTOEnable2 - 8 - BOOL - - - TcLinkTo - TIID^Device 1 (EtherCAT)^Term 1 (EK1200)^E5 (EK1122)^X1 SP1K1-MONO(EK1100)^SP1K1-EL1004-E17^Channel 2^Input - - - TcAddressType - Input - - - 1283170904 + 1284767936 PRG_MR1K2_SWITCH.fbMotionStage_m5.fbDriveVirtual.MasterAxis.NcToPlc @@ -83724,7 +84030,7 @@ Emergency Stop for MR1K1 Input - 1283173568 + 1285102912 PRG_MR1K2_SWITCH.fM1K2_Flow_1.iRaw @@ -83737,7 +84043,7 @@ Emergency Stop for MR1K1 Input - 1283503936 + 1285433280 PRG_MR1K2_SWITCH.fM1K2_Flow_2.iRaw @@ -83750,7 +84056,7 @@ Emergency Stop for MR1K1 Input - 1283504512 + 1285433856 PRG_MR1K2_SWITCH.fM1K2_Press_1.iRaw @@ -83763,10 +84069,10 @@ Emergency Stop for MR1K1 Input - 1283505088 + 1285434432 - PRG_SP1K1_MONO.fbMotionStage_m_pi.fbDriveVirtual.MasterAxis.NcToPlc + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].Axis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -83775,138 +84081,124 @@ Emergency Stop for MR1K1 Input - 1283508544 + 1286735424 - PRG_SP1K1_MONO.fbMotionStage_g_pi.fbDriveVirtual.MasterAxis.NcToPlc - 2048 - NCTOPLC_AXIS_REF + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].bLimitForwardEnable + NC Forward Limit Switch: TRUE if ok to move + 8 + BOOL TcAddressType Input - 1283835968 + 1286743360 - PRG_SP1K1_MONO.fbMotionStage_m_h.fbDriveVirtual.MasterAxis.NcToPlc - 2048 - NCTOPLC_AXIS_REF + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].bLimitBackwardEnable + NC Backward Limit Switch: TRUE if ok to move + 8 + BOOL TcAddressType Input - 1284163392 + 1286743368 - PRG_SP1K1_MONO.fbMotionStage_g_h.fbDriveVirtual.MasterAxis.NcToPlc - 2048 - NCTOPLC_AXIS_REF + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].bHome + NO Home Switch: TRUE if at home + 8 + BOOL TcAddressType Input - 1284490816 + 1286743376 - PRG_SP1K1_MONO.fbMotionStage_s_r.fbDriveVirtual.MasterAxis.NcToPlc - 2048 - NCTOPLC_AXIS_REF + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].bHardwareEnable + NC STO Input: TRUE if ok to move + 8 + BOOL + + pytmc + + pv: PLC:bHardwareEnable + io: i + field: ZNAM FALSE + field: ONAM TRUE + field: DESC TRUE if STO not hit + + TcAddressType Input - 1284818240 + 1286743392 - PRG_SP1K1_MONO.fbMotionStage_s_io.fbDriveVirtual.MasterAxis.NcToPlc - 2048 - NCTOPLC_AXIS_REF + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].nRawEncoderULINT + Raw encoder IO for ULINT (Biss-C) + 64 + ULINT TcAddressType Input - 1285145664 + 1286743424 - PRG_SP1K1_MONO.mpi_upe - 128 - ST_RenishawAbsEnc - - - .ref - 0 - - + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].nRawEncoderUINT + Raw encoder IO for UINT (Relative Encoders) + 16 + UINT - - TcLinkTo - .Count:=TIIB[m_pi_up_dwn_e]^FB Inputs Channel 1^Position - TcAddressType Input - 1285470464 + 1286743488 - PRG_SP1K1_MONO.gpi_upe - 128 - ST_RenishawAbsEnc - - - .ref - 0 - - + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].nRawEncoderINT + Raw encoder IO for INT (LVDT) + 16 + INT - - TcLinkTo - .Count:=TIIB[g_pi_up_dwn_e]^FB Inputs Channel 1^Position - TcAddressType Input - 1285470592 + 1286743504 - PRG_SP1K1_MONO.RTD1.bError - 8 - BOOL - - true - + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].Axis.NcToPlc + 2048 + NCTOPLC_AXIS_REF - - pytmc - - pv: ERR - io: input - field: ONAM True - field: ZNAM False - - TcAddressType Input - 1285471048 + 1286761344 - PRG_SP1K1_MONO.RTD1.bUnderrange + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].bLimitForwardEnable + NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -83915,10 +84207,11 @@ Emergency Stop for MR1K1 Input - 1285471056 + 1286769280 - PRG_SP1K1_MONO.RTD1.bOverrange + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].bLimitBackwardEnable + NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -83927,35 +84220,35 @@ Emergency Stop for MR1K1 Input - 1285471064 + 1286769288 - PRG_SP1K1_MONO.RTD1.iRaw - 16 - INT + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].bHome + NO Home Switch: TRUE if at home + 8 + BOOL TcAddressType Input - 1285471072 + 1286769296 - PRG_SP1K1_MONO.RTD2.bError + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].bHardwareEnable + NC STO Input: TRUE if ok to move 8 BOOL - - true - pytmc - pv: ERR - io: input - field: ONAM True - field: ZNAM False + pv: PLC:bHardwareEnable + io: i + field: ZNAM FALSE + field: ONAM TRUE + field: DESC TRUE if STO not hit @@ -83963,34 +84256,37 @@ Emergency Stop for MR1K1 Input - 1285471304 + 1286769312 - PRG_SP1K1_MONO.RTD2.bUnderrange - 8 - BOOL + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].nRawEncoderULINT + Raw encoder IO for ULINT (Biss-C) + 64 + ULINT TcAddressType Input - 1285471312 + 1286769344 - PRG_SP1K1_MONO.RTD2.bOverrange - 8 - BOOL + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].nRawEncoderUINT + Raw encoder IO for UINT (Relative Encoders) + 16 + UINT TcAddressType Input - 1285471320 + 1286769408 - PRG_SP1K1_MONO.RTD2.iRaw + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].nRawEncoderINT + Raw encoder IO for INT (LVDT) 16 INT @@ -83999,34 +84295,23 @@ Emergency Stop for MR1K1 Input - 1285471328 + 1286769424 - PRG_SP1K1_MONO.RTD3.bError - 8 - BOOL - - true - + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].Axis.NcToPlc + 2048 + NCTOPLC_AXIS_REF - - pytmc - - pv: ERR - io: input - field: ONAM True - field: ZNAM False - - TcAddressType Input - 1285471560 + 1286787264 - PRG_SP1K1_MONO.RTD3.bUnderrange + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].bLimitForwardEnable + NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -84035,10 +84320,11 @@ Emergency Stop for MR1K1 Input - 1285471568 + 1286795200 - PRG_SP1K1_MONO.RTD3.bOverrange + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].bLimitBackwardEnable + NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -84047,35 +84333,35 @@ Emergency Stop for MR1K1 Input - 1285471576 + 1286795208 - PRG_SP1K1_MONO.RTD3.iRaw - 16 - INT + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].bHome + NO Home Switch: TRUE if at home + 8 + BOOL TcAddressType Input - 1285471584 + 1286795216 - PRG_SP1K1_MONO.RTD4.bError + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].bHardwareEnable + NC STO Input: TRUE if ok to move 8 BOOL - - true - pytmc - pv: ERR - io: input - field: ONAM True - field: ZNAM False + pv: PLC:bHardwareEnable + io: i + field: ZNAM FALSE + field: ONAM TRUE + field: DESC TRUE if STO not hit @@ -84083,34 +84369,37 @@ Emergency Stop for MR1K1 Input - 1285471816 + 1286795232 - PRG_SP1K1_MONO.RTD4.bUnderrange - 8 - BOOL + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].nRawEncoderULINT + Raw encoder IO for ULINT (Biss-C) + 64 + ULINT TcAddressType Input - 1285471824 + 1286795264 - PRG_SP1K1_MONO.RTD4.bOverrange - 8 - BOOL + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].nRawEncoderUINT + Raw encoder IO for UINT (Relative Encoders) + 16 + UINT TcAddressType Input - 1285471832 + 1286795328 - PRG_SP1K1_MONO.RTD4.iRaw + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].nRawEncoderINT + Raw encoder IO for INT (LVDT) 16 INT @@ -84119,130 +84408,159 @@ Emergency Stop for MR1K1 Input - 1285471840 + 1286795344 - PRG_SP1K1_MONO.RTD5.bError + PRG_SP1K1_MONO.bSTOEnable1 + Where is the STO 8 BOOL - - true - - pytmc - - pv: ERR - io: input - field: ONAM True - field: ZNAM False - + TcLinkTo + TIID^Device 1 (EtherCAT)^Term 1 (EK1200)^E5 (EK1122)^X1 SP1K1-MONO(EK1100)^SP1K1-EL1004-E17^Channel 1^Input TcAddressType Input - 1285472072 + 1287123648 - PRG_SP1K1_MONO.RTD5.bUnderrange + PRG_SP1K1_MONO.bSTOEnable2 8 BOOL + + TcLinkTo + TIID^Device 1 (EtherCAT)^Term 1 (EK1200)^E5 (EK1122)^X1 SP1K1-MONO(EK1100)^SP1K1-EL1004-E17^Channel 2^Input + TcAddressType Input - 1285472080 + 1287123656 - PRG_SP1K1_MONO.RTD5.bOverrange - 8 - BOOL + PRG_SP1K1_MONO.fbMotionStage_m_pi.fbDriveVirtual.MasterAxis.NcToPlc + 2048 + NCTOPLC_AXIS_REF TcAddressType Input - 1285472088 + 1287126336 - PRG_SP1K1_MONO.RTD5.iRaw - 16 - INT + PRG_SP1K1_MONO.fbMotionStage_g_pi.fbDriveVirtual.MasterAxis.NcToPlc + 2048 + NCTOPLC_AXIS_REF TcAddressType Input - 1285472096 + 1287453760 - PRG_SP1K1_MONO.RTD6.bError - 8 - BOOL - - true - + PRG_SP1K1_MONO.fbMotionStage_m_h.fbDriveVirtual.MasterAxis.NcToPlc + 2048 + NCTOPLC_AXIS_REF - pytmc - - pv: ERR - io: input - field: ONAM True - field: ZNAM False - + TcAddressType + Input + + 1287781184 + + + PRG_SP1K1_MONO.fbMotionStage_g_h.fbDriveVirtual.MasterAxis.NcToPlc + 2048 + NCTOPLC_AXIS_REF + TcAddressType Input - 1285472328 + 1288108608 - PRG_SP1K1_MONO.RTD6.bUnderrange - 8 - BOOL + PRG_SP1K1_MONO.fbMotionStage_s_r.fbDriveVirtual.MasterAxis.NcToPlc + 2048 + NCTOPLC_AXIS_REF TcAddressType Input - 1285472336 + 1288436032 - PRG_SP1K1_MONO.RTD6.bOverrange - 8 - BOOL + PRG_SP1K1_MONO.fbMotionStage_s_io.fbDriveVirtual.MasterAxis.NcToPlc + 2048 + NCTOPLC_AXIS_REF TcAddressType Input - 1285472344 + 1288763456 - PRG_SP1K1_MONO.RTD6.iRaw - 16 - INT + PRG_SP1K1_MONO.mpi_upe + 128 + ST_RenishawAbsEnc + + + .ref + 0 + + + + TcLinkTo + .Count:=TIIB[m_pi_up_dwn_e]^FB Inputs Channel 1^Position + TcAddressType Input - 1285472352 + 1289088256 - PRG_SP1K1_MONO.RTD7.bError + PRG_SP1K1_MONO.gpi_upe + 128 + ST_RenishawAbsEnc + + + .ref + 0 + + + + + TcLinkTo + .Count:=TIIB[g_pi_up_dwn_e]^FB Inputs Channel 1^Position + + + TcAddressType + Input + + + 1289088384 + + + PRG_SP1K1_MONO.RTD1.bError 8 BOOL @@ -84263,10 +84581,10 @@ Emergency Stop for MR1K1 Input - 1285472584 + 1289088840 - PRG_SP1K1_MONO.RTD7.bUnderrange + PRG_SP1K1_MONO.RTD1.bUnderrange 8 BOOL @@ -84275,10 +84593,10 @@ Emergency Stop for MR1K1 Input - 1285472592 + 1289088848 - PRG_SP1K1_MONO.RTD7.bOverrange + PRG_SP1K1_MONO.RTD1.bOverrange 8 BOOL @@ -84287,10 +84605,10 @@ Emergency Stop for MR1K1 Input - 1285472600 + 1289088856 - PRG_SP1K1_MONO.RTD7.iRaw + PRG_SP1K1_MONO.RTD1.iRaw 16 INT @@ -84299,10 +84617,10 @@ Emergency Stop for MR1K1 Input - 1285472608 + 1289088864 - PRG_SP1K1_MONO.RTD8.bError + PRG_SP1K1_MONO.RTD2.bError 8 BOOL @@ -84323,10 +84641,10 @@ Emergency Stop for MR1K1 Input - 1285472840 + 1289089096 - PRG_SP1K1_MONO.RTD8.bUnderrange + PRG_SP1K1_MONO.RTD2.bUnderrange 8 BOOL @@ -84335,10 +84653,10 @@ Emergency Stop for MR1K1 Input - 1285472848 + 1289089104 - PRG_SP1K1_MONO.RTD8.bOverrange + PRG_SP1K1_MONO.RTD2.bOverrange 8 BOOL @@ -84347,10 +84665,10 @@ Emergency Stop for MR1K1 Input - 1285472856 + 1289089112 - PRG_SP1K1_MONO.RTD8.iRaw + PRG_SP1K1_MONO.RTD2.iRaw 16 INT @@ -84359,10 +84677,10 @@ Emergency Stop for MR1K1 Input - 1285472864 + 1289089120 - PRG_SP1K1_MONO.RTD9.bError + PRG_SP1K1_MONO.RTD3.bError 8 BOOL @@ -84383,10 +84701,10 @@ Emergency Stop for MR1K1 Input - 1285473096 + 1289089352 - PRG_SP1K1_MONO.RTD9.bUnderrange + PRG_SP1K1_MONO.RTD3.bUnderrange 8 BOOL @@ -84395,10 +84713,10 @@ Emergency Stop for MR1K1 Input - 1285473104 + 1289089360 - PRG_SP1K1_MONO.RTD9.bOverrange + PRG_SP1K1_MONO.RTD3.bOverrange 8 BOOL @@ -84407,10 +84725,10 @@ Emergency Stop for MR1K1 Input - 1285473112 + 1289089368 - PRG_SP1K1_MONO.RTD9.iRaw + PRG_SP1K1_MONO.RTD3.iRaw 16 INT @@ -84419,10 +84737,10 @@ Emergency Stop for MR1K1 Input - 1285473120 + 1289089376 - PRG_SP1K1_MONO.RTD10.bError + PRG_SP1K1_MONO.RTD4.bError 8 BOOL @@ -84443,10 +84761,10 @@ Emergency Stop for MR1K1 Input - 1285473352 + 1289089608 - PRG_SP1K1_MONO.RTD10.bUnderrange + PRG_SP1K1_MONO.RTD4.bUnderrange 8 BOOL @@ -84455,10 +84773,10 @@ Emergency Stop for MR1K1 Input - 1285473360 + 1289089616 - PRG_SP1K1_MONO.RTD10.bOverrange + PRG_SP1K1_MONO.RTD4.bOverrange 8 BOOL @@ -84467,10 +84785,10 @@ Emergency Stop for MR1K1 Input - 1285473368 + 1289089624 - PRG_SP1K1_MONO.RTD10.iRaw + PRG_SP1K1_MONO.RTD4.iRaw 16 INT @@ -84479,10 +84797,10 @@ Emergency Stop for MR1K1 Input - 1285473376 + 1289089632 - PRG_SP1K1_MONO.RTD11.bError + PRG_SP1K1_MONO.RTD5.bError 8 BOOL @@ -84503,10 +84821,10 @@ Emergency Stop for MR1K1 Input - 1285473608 + 1289089864 - PRG_SP1K1_MONO.RTD11.bUnderrange + PRG_SP1K1_MONO.RTD5.bUnderrange 8 BOOL @@ -84515,10 +84833,10 @@ Emergency Stop for MR1K1 Input - 1285473616 + 1289089872 - PRG_SP1K1_MONO.RTD11.bOverrange + PRG_SP1K1_MONO.RTD5.bOverrange 8 BOOL @@ -84527,10 +84845,10 @@ Emergency Stop for MR1K1 Input - 1285473624 + 1289089880 - PRG_SP1K1_MONO.RTD11.iRaw + PRG_SP1K1_MONO.RTD5.iRaw 16 INT @@ -84539,10 +84857,10 @@ Emergency Stop for MR1K1 Input - 1285473632 + 1289089888 - PRG_SP1K1_MONO.RTD12.bError + PRG_SP1K1_MONO.RTD6.bError 8 BOOL @@ -84563,10 +84881,10 @@ Emergency Stop for MR1K1 Input - 1285473864 + 1289090120 - PRG_SP1K1_MONO.RTD12.bUnderrange + PRG_SP1K1_MONO.RTD6.bUnderrange 8 BOOL @@ -84575,10 +84893,10 @@ Emergency Stop for MR1K1 Input - 1285473872 + 1289090128 - PRG_SP1K1_MONO.RTD12.bOverrange + PRG_SP1K1_MONO.RTD6.bOverrange 8 BOOL @@ -84587,10 +84905,10 @@ Emergency Stop for MR1K1 Input - 1285473880 + 1289090136 - PRG_SP1K1_MONO.RTD12.iRaw + PRG_SP1K1_MONO.RTD6.iRaw 16 INT @@ -84599,109 +84917,130 @@ Emergency Stop for MR1K1 Input - 1285473888 + 1289090144 - PRG_SP1K1_MONO.fSP1K1_Flow_1.iRaw - Connect this input to the terminal - 16 - INT + PRG_SP1K1_MONO.RTD7.bError + 8 + BOOL + + true + + + pytmc + + pv: ERR + io: input + field: ONAM True + field: ZNAM False + + TcAddressType Input - 1285500096 + 1289090376 - PRG_SP1K1_MONO.fSP1K1_Flow_2.iRaw - Connect this input to the terminal - 16 - INT + PRG_SP1K1_MONO.RTD7.bUnderrange + 8 + BOOL TcAddressType Input - 1285500672 + 1289090384 - PRG_SP1K1_MONO.fSP1K1_Press_1.iRaw - Connect this input to the terminal - 16 - INT + PRG_SP1K1_MONO.RTD7.bOverrange + 8 + BOOL TcAddressType Input - 1285501248 + 1289090392 - PRG_SL1K2_EXIT.fbPitch.fbDriveVirtual.MasterAxis.NcToPlc - 2048 - NCTOPLC_AXIS_REF + PRG_SP1K1_MONO.RTD7.iRaw + 16 + INT TcAddressType Input - 1285538112 + 1289090400 - PRG_SL1K2_EXIT.fbRoll.fbDriveVirtual.MasterAxis.NcToPlc - 2048 - NCTOPLC_AXIS_REF + PRG_SP1K1_MONO.RTD8.bError + 8 + BOOL + + true + + + pytmc + + pv: ERR + io: input + field: ONAM True + field: ZNAM False + + TcAddressType Input - 1285865536 + 1289090632 - PRG_SL1K2_EXIT.fbVertical.fbDriveVirtual.MasterAxis.NcToPlc - 2048 - NCTOPLC_AXIS_REF + PRG_SP1K1_MONO.RTD8.bUnderrange + 8 + BOOL TcAddressType Input - 1286192960 + 1289090640 - PRG_SL1K2_EXIT.fbGap.fbDriveVirtual.MasterAxis.NcToPlc - 2048 - NCTOPLC_AXIS_REF + PRG_SP1K1_MONO.RTD8.bOverrange + 8 + BOOL TcAddressType Input - 1286520384 + 1289090648 - PRG_SL1K2_EXIT.fbYag.fbDriveVirtual.MasterAxis.NcToPlc - 2048 - NCTOPLC_AXIS_REF + PRG_SP1K1_MONO.RTD8.iRaw + 16 + INT TcAddressType Input - 1286847808 + 1289090656 - PRG_SL1K2_EXIT.RTD_Crystal_TOP.bError + PRG_SP1K1_MONO.RTD9.bError 8 BOOL @@ -84722,10 +85061,10 @@ Emergency Stop for MR1K1 Input - 1287867528 + 1289090888 - PRG_SL1K2_EXIT.RTD_Crystal_TOP.bUnderrange + PRG_SP1K1_MONO.RTD9.bUnderrange 8 BOOL @@ -84734,10 +85073,10 @@ Emergency Stop for MR1K1 Input - 1287867536 + 1289090896 - PRG_SL1K2_EXIT.RTD_Crystal_TOP.bOverrange + PRG_SP1K1_MONO.RTD9.bOverrange 8 BOOL @@ -84746,10 +85085,10 @@ Emergency Stop for MR1K1 Input - 1287867544 + 1289090904 - PRG_SL1K2_EXIT.RTD_Crystal_TOP.iRaw + PRG_SP1K1_MONO.RTD9.iRaw 16 INT @@ -84758,10 +85097,10 @@ Emergency Stop for MR1K1 Input - 1287867552 + 1289090912 - PRG_SL1K2_EXIT.RTD_Crystal_BOTTOM.bError + PRG_SP1K1_MONO.RTD10.bError 8 BOOL @@ -84782,10 +85121,10 @@ Emergency Stop for MR1K1 Input - 1287867784 + 1289091144 - PRG_SL1K2_EXIT.RTD_Crystal_BOTTOM.bUnderrange + PRG_SP1K1_MONO.RTD10.bUnderrange 8 BOOL @@ -84794,10 +85133,10 @@ Emergency Stop for MR1K1 Input - 1287867792 + 1289091152 - PRG_SL1K2_EXIT.RTD_Crystal_BOTTOM.bOverrange + PRG_SP1K1_MONO.RTD10.bOverrange 8 BOOL @@ -84806,10 +85145,10 @@ Emergency Stop for MR1K1 Input - 1287867800 + 1289091160 - PRG_SL1K2_EXIT.RTD_Crystal_BOTTOM.iRaw + PRG_SP1K1_MONO.RTD10.iRaw 16 INT @@ -84818,10 +85157,10 @@ Emergency Stop for MR1K1 Input - 1287867808 + 1289091168 - PRG_SL1K2_EXIT.RTD_YAG.bError + PRG_SP1K1_MONO.RTD11.bError 8 BOOL @@ -84842,10 +85181,10 @@ Emergency Stop for MR1K1 Input - 1287868040 + 1289091400 - PRG_SL1K2_EXIT.RTD_YAG.bUnderrange + PRG_SP1K1_MONO.RTD11.bUnderrange 8 BOOL @@ -84854,10 +85193,10 @@ Emergency Stop for MR1K1 Input - 1287868048 + 1289091408 - PRG_SL1K2_EXIT.RTD_YAG.bOverrange + PRG_SP1K1_MONO.RTD11.bOverrange 8 BOOL @@ -84866,10 +85205,10 @@ Emergency Stop for MR1K1 Input - 1287868056 + 1289091416 - PRG_SL1K2_EXIT.RTD_YAG.iRaw + PRG_SP1K1_MONO.RTD11.iRaw 16 INT @@ -84878,10 +85217,10 @@ Emergency Stop for MR1K1 Input - 1287868064 + 1289091424 - PRG_SL1K2_EXIT.RTD_HeatSync.bError + PRG_SP1K1_MONO.RTD12.bError 8 BOOL @@ -84902,10 +85241,10 @@ Emergency Stop for MR1K1 Input - 1287868296 + 1289091656 - PRG_SL1K2_EXIT.RTD_HeatSync.bUnderrange + PRG_SP1K1_MONO.RTD12.bUnderrange 8 BOOL @@ -84914,10 +85253,10 @@ Emergency Stop for MR1K1 Input - 1287868304 + 1289091664 - PRG_SL1K2_EXIT.RTD_HeatSync.bOverrange + PRG_SP1K1_MONO.RTD12.bOverrange 8 BOOL @@ -84926,10 +85265,10 @@ Emergency Stop for MR1K1 Input - 1287868312 + 1289091672 - PRG_SL1K2_EXIT.RTD_HeatSync.iRaw + PRG_SP1K1_MONO.RTD12.iRaw 16 INT @@ -84938,10 +85277,10 @@ Emergency Stop for MR1K1 Input - 1287868320 + 1289091680 - PRG_SL1K2_EXIT.fbGige.fbGetIllPercent.iRaw + PRG_SP1K1_MONO.fSP1K1_Flow_1.iRaw Connect this input to the terminal 16 INT @@ -84951,10 +85290,10 @@ Emergency Stop for MR1K1 Input - 1287868672 + 1289117888 - PRG_SL1K2_EXIT.fbFlowMeter.iRaw + PRG_SP1K1_MONO.fSP1K1_Flow_2.iRaw Connect this input to the terminal 16 INT @@ -84964,189 +85303,149 @@ Emergency Stop for MR1K1 Input - 1287869824 - - - PRG_ST1K1_ZOS.fbZOS.fbDriveVirtual.MasterAxis.NcToPlc - 2048 - NCTOPLC_AXIS_REF - - - TcAddressType - Input - - - 1287873216 + 1289118464 - PRG_2_PMPS_POST.fbArbiterIO.i_stCurrentBP - 1760 - ST_BeamParams_IO + PRG_SP1K1_MONO.fSP1K1_Press_1.iRaw + Connect this input to the terminal + 16 + INT - - TcLinkTo - TIIB[PMPS_PRE]^IO Inputs^CurrentBP - TcAddressType Input - 1288200192 + 1289119040 - PRG_2_PMPS_POST.fbArbiterIO.xTxPDO_toggle - 1 - BIT + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].Axis.NcToPlc + 2048 + NCTOPLC_AXIS_REF - - pytmc - pv: TxPDO_toggle - io: i - - - TcLinkTo - TIIB[PMPS_PRE]^SYNC Inputs^TxPDO toggle - TcAddressType Input - 1288203712 + 1290423680 - PRG_2_PMPS_POST.fbArbiterIO.xTxPDO_state - 1 - BIT + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].bLimitForwardEnable + NC Forward Limit Switch: TRUE if ok to move + 8 + BOOL - - pytmc - pv: TxPDO_state - io: i - - - TcLinkTo - TIIB[PMPS_PRE]^SYNC Inputs^TxPDO state - TcAddressType Input - 1288203713 + 1290431616 - PRG_MR2K2_FLAT.M2K2FLATbSTOEnable1 - Emergency Stop for MR2K2 //M2K2 needs an EL1004 term and an ESTOP added, not listed in I/O either + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].bLimitBackwardEnable + NC Backward Limit Switch: TRUE if ok to move 8 BOOL - - TcLinkTo - TIID^Device 1 (EtherCAT)^Term 1 (EK1200)^Term 79 (EK1521-0010)^Term 306 (EK1501-0010)^Term 310 (EK1122)^EK1100_MR2K2^EL1004_M2K2_STO^Channel 1^Input - TcAddressType Input - 1288502256 + 1290431624 - PRG_MR2K2_FLAT.M2K2FLATbSTOEnable2 + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].bHome + NO Home Switch: TRUE if at home 8 BOOL - - TcLinkTo - TIID^Device 1 (EtherCAT)^Term 1 (EK1200)^Term 79 (EK1521-0010)^Term 306 (EK1501-0010)^Term 310 (EK1122)^EK1100_MR2K2^EL1004_M2K2_STO^Channel 2^Input - TcAddressType Input - 1288502264 + 1290431632 - PRG_MR2K2_FLAT.fbCoolingPanel.fbFlow_1.iRaw - Connect this input to the terminal - 16 - INT + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].bHardwareEnable + NC STO Input: TRUE if ok to move + 8 + BOOL - TcAddressType - Input + pytmc + + pv: PLC:bHardwareEnable + io: i + field: ZNAM FALSE + field: ONAM TRUE + field: DESC TRUE if STO not hit + - - 1289694080 - - - PRG_MR2K2_FLAT.fbCoolingPanel.fbPress_1.iRaw - Connect this input to the terminal - 16 - INT - TcAddressType Input - 1289694592 + 1290431648 - PRG_MR2K2_FLAT.fbCoolingPanel.fbFlow_2.iRaw - Connect this input to the terminal - 16 - INT + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].nRawEncoderULINT + Raw encoder IO for ULINT (Biss-C) + 64 + ULINT TcAddressType Input - 1289695168 + 1290431680 - PRG_MR3K2_KBH.bM3K2US_RTD_1_Err - RTD error bit - 8 - BOOL + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].nRawEncoderUINT + Raw encoder IO for UINT (Relative Encoders) + 16 + UINT TcAddressType Input - 1291634368 + 1290431744 - PRG_MR3K2_KBH.bM3K2US_RTD_2_Err - 8 - BOOL + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].nRawEncoderINT + Raw encoder IO for INT (LVDT) + 16 + INT TcAddressType Input - 1291634376 + 1290431760 - PRG_MR3K2_KBH.bM3K2US_RTD_3_Err - 8 - BOOL + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].Axis.NcToPlc + 2048 + NCTOPLC_AXIS_REF TcAddressType Input - 1291634384 + 1290449600 - PRG_MR3K2_KBH.bM3K2DS_RTD_1_Err + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].bLimitForwardEnable + NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -85155,10 +85454,11 @@ Emergency Stop for MR1K1 Input - 1291634392 + 1290457536 - PRG_MR3K2_KBH.bM3K2DS_RTD_2_Err + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].bLimitBackwardEnable + NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -85167,10 +85467,11 @@ Emergency Stop for MR1K1 Input - 1291634400 + 1290457544 - PRG_MR3K2_KBH.bM3K2DS_RTD_3_Err + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].bHome + NO Home Switch: TRUE if at home 8 BOOL @@ -85179,57 +85480,60 @@ Emergency Stop for MR1K1 Input - 1291634408 + 1290457552 - PRG_MR3K2_KBH.M3K2KBHbSTOEnable1 - Emergency Stop for MR3K2 + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].bHardwareEnable + NC STO Input: TRUE if ok to move 8 BOOL - TcLinkTo - TIIB[EL1004_M3K2_STO]^Channel 1^Input + pytmc + + pv: PLC:bHardwareEnable + io: i + field: ZNAM FALSE + field: ONAM TRUE + field: DESC TRUE if STO not hit + TcAddressType Input - 1291634416 + 1290457568 - PRG_MR3K2_KBH.M3K2KBHbSTOEnable2 - 8 - BOOL + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].nRawEncoderULINT + Raw encoder IO for ULINT (Biss-C) + 64 + ULINT - - TcLinkTo - TIIB[EL1004_M3K2_STO]^Channel 2^Input - TcAddressType Input - 1291634424 + 1290457600 - PRG_MR3K2_KBH.fbCoolingPanel.fbFlow_1.iRaw - Connect this input to the terminal + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].nRawEncoderUINT + Raw encoder IO for UINT (Relative Encoders) 16 - INT + UINT TcAddressType Input - 1291634688 + 1290457664 - PRG_MR3K2_KBH.fbCoolingPanel.fbPress_1.iRaw - Connect this input to the terminal + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].nRawEncoderINT + Raw encoder IO for INT (LVDT) 16 INT @@ -85238,34 +85542,23 @@ Emergency Stop for MR1K1 Input - 1291635200 + 1290457680 - PRG_MR4K2_KBV.nM4K2_Chin_Right_RTD.bError - 8 - BOOL - - true - + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].Axis.NcToPlc + 2048 + NCTOPLC_AXIS_REF - - pytmc - - pv: ERR - io: input - field: ONAM True - field: ZNAM False - - TcAddressType Input - 1293574600 + 1290475520 - PRG_MR4K2_KBV.nM4K2_Chin_Right_RTD.bUnderrange + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].bLimitForwardEnable + NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -85274,10 +85567,11 @@ Emergency Stop for MR1K1 Input - 1293574608 + 1290483456 - PRG_MR4K2_KBV.nM4K2_Chin_Right_RTD.bOverrange + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].bLimitBackwardEnable + NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -85286,35 +85580,35 @@ Emergency Stop for MR1K1 Input - 1293574616 + 1290483464 - PRG_MR4K2_KBV.nM4K2_Chin_Right_RTD.iRaw - 16 - INT + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].bHome + NO Home Switch: TRUE if at home + 8 + BOOL TcAddressType Input - 1293574624 + 1290483472 - PRG_MR4K2_KBV.nM4K2_Chin_Left_RTD.bError + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].bHardwareEnable + NC STO Input: TRUE if ok to move 8 BOOL - - true - pytmc - pv: ERR - io: input - field: ONAM True - field: ZNAM False + pv: PLC:bHardwareEnable + io: i + field: ZNAM FALSE + field: ONAM TRUE + field: DESC TRUE if STO not hit @@ -85322,34 +85616,37 @@ Emergency Stop for MR1K1 Input - 1293574856 + 1290483488 - PRG_MR4K2_KBV.nM4K2_Chin_Left_RTD.bUnderrange - 8 - BOOL + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].nRawEncoderULINT + Raw encoder IO for ULINT (Biss-C) + 64 + ULINT TcAddressType Input - 1293574864 + 1290483520 - PRG_MR4K2_KBV.nM4K2_Chin_Left_RTD.bOverrange - 8 - BOOL + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].nRawEncoderUINT + Raw encoder IO for UINT (Relative Encoders) + 16 + UINT TcAddressType Input - 1293574872 + 1290483584 - PRG_MR4K2_KBV.nM4K2_Chin_Left_RTD.iRaw + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].nRawEncoderINT + Raw encoder IO for INT (LVDT) 16 INT @@ -85358,555 +85655,440 @@ Emergency Stop for MR1K1 Input - 1293574880 - - - PRG_MR4K2_KBV.bM4K2US_RTD_1_Err - RTD error bit - 8 - BOOL - - - TcAddressType - Input - - - 1293574912 + 1290483600 - PRG_MR4K2_KBV.bM4K2US_RTD_2_Err - 8 - BOOL + PRG_SL1K2_EXIT.fbPitch.fbDriveVirtual.MasterAxis.NcToPlc + 2048 + NCTOPLC_AXIS_REF TcAddressType Input - 1293574920 + 1290844352 - PRG_MR4K2_KBV.bM4K2US_RTD_3_Err - 8 - BOOL + PRG_SL1K2_EXIT.fbRoll.fbDriveVirtual.MasterAxis.NcToPlc + 2048 + NCTOPLC_AXIS_REF TcAddressType Input - 1293574928 + 1291171776 - PRG_MR4K2_KBV.bM4K2DS_RTD_1_Err - 8 - BOOL + PRG_SL1K2_EXIT.fbVertical.fbDriveVirtual.MasterAxis.NcToPlc + 2048 + NCTOPLC_AXIS_REF TcAddressType Input - 1293574936 + 1291499200 - PRG_MR4K2_KBV.bM4K2DS_RTD_2_Err - 8 - BOOL + PRG_SL1K2_EXIT.fbGap.fbDriveVirtual.MasterAxis.NcToPlc + 2048 + NCTOPLC_AXIS_REF TcAddressType Input - 1293574944 + 1291826624 - PRG_MR4K2_KBV.bM4K2DS_RTD_3_Err - 8 - BOOL + PRG_SL1K2_EXIT.fbYag.fbDriveVirtual.MasterAxis.NcToPlc + 2048 + NCTOPLC_AXIS_REF TcAddressType Input - 1293574952 + 1292154048 - PRG_MR4K2_KBV.M4K2KBVbSTOEnable1 - Emergency Stop for MR4K2 + PRG_SL1K2_EXIT.RTD_Crystal_TOP.bError 8 BOOL + + true + - TcLinkTo - TIID^Device 1 (EtherCAT)^Term 1 (EK1200)^Term 79 (EK1521-0010)^Term 306 (EK1501-0010)^Term 322 (EK1122)^EK1100_MR4K2_BENDER^EL1004_M4K2_STO^Channel 1^Input + pytmc + + pv: ERR + io: input + field: ONAM True + field: ZNAM False + TcAddressType Input - 1293574960 + 1293173768 - PRG_MR4K2_KBV.M4K2KBVbSTOEnable2 + PRG_SL1K2_EXIT.RTD_Crystal_TOP.bUnderrange 8 BOOL - - - TcLinkTo - TIID^Device 1 (EtherCAT)^Term 1 (EK1200)^Term 79 (EK1521-0010)^Term 306 (EK1501-0010)^Term 322 (EK1122)^EK1100_MR4K2_BENDER^EL1004_M4K2_STO^Channel 2^Input - - - TcAddressType - Input - - - 1293574968 - - - PRG_MR4K2_KBV.fbCoolingPanel.fbFlow_1.iRaw - Connect this input to the terminal - 16 - INT TcAddressType Input - 1293575232 + 1293173776 - PRG_MR4K2_KBV.fbCoolingPanel.fbPress_1.iRaw - Connect this input to the terminal - 16 - INT + PRG_SL1K2_EXIT.RTD_Crystal_TOP.bOverrange + 8 + BOOL TcAddressType Input - 1293575744 + 1293173784 - GVL_M1K1_BENDER_RTD.nM1K1US_RTD_1 - M1K1 US RTDs + PRG_SL1K2_EXIT.RTD_Crystal_TOP.iRaw 16 INT - - TcLinkTo - TIIB[EL3202-0010_M1K1US1_M1K1US2]^RTD Inputs Channel 1^Value - TcAddressType Input - - TcVarGlobal - - 1293581216 + 1293173792 - GVL_M1K1_BENDER_RTD.nM1K1US_RTD_2 - 16 - INT + PRG_SL1K2_EXIT.RTD_Crystal_BOTTOM.bError + 8 + BOOL + + true + - TcLinkTo - TIIB[EL3202-0010_M1K1US1_M1K1US2]^RTD Inputs Channel 2^Value + pytmc + + pv: ERR + io: input + field: ONAM True + field: ZNAM False + TcAddressType Input - - TcVarGlobal - - 1293581232 + 1293174024 - GVL_M1K1.M1K1_Pitch.diEncCnt - Raw encoder count - 64 - LINT + PRG_SL1K2_EXIT.RTD_Crystal_BOTTOM.bUnderrange + 8 + BOOL TcAddressType Input - 1293583680 + 1293174032 - GVL_M1K1_BENDER_RTD.nM1K1US_RTD_3 - 16 - INT + PRG_SL1K2_EXIT.RTD_Crystal_BOTTOM.bOverrange + 8 + BOOL - - TcLinkTo - TIIB[EL3202-0010_M1K1US3_M1K1DS1]^RTD Inputs Channel 1^Value - TcAddressType Input - - TcVarGlobal - - 1293584128 + 1293174040 - GVL_M1K1_BENDER_RTD.nM1K1DS_RTD_1 - M1K1 DS RTDs + PRG_SL1K2_EXIT.RTD_Crystal_BOTTOM.iRaw 16 INT - - TcLinkTo - TIIB[EL3202-0010_M1K1US3_M1K1DS1]^RTD Inputs Channel 2^Value - TcAddressType Input - - TcVarGlobal - - 1293584144 + 1293174048 - GVL_M1K1_BENDER_RTD.nM1K1DS_RTD_2 - 16 - INT + PRG_SL1K2_EXIT.RTD_YAG.bError + 8 + BOOL + + true + - TcLinkTo - TIIB[EL3202-0010_M1K1DS2_M1K1DS3]^RTD Inputs Channel 1^Value + pytmc + + pv: ERR + io: input + field: ONAM True + field: ZNAM False + TcAddressType Input - - TcVarGlobal - - 1293584160 + 1293174280 - GVL_M1K1_BENDER_RTD.nM1K1DS_RTD_3 - 16 - INT + PRG_SL1K2_EXIT.RTD_YAG.bUnderrange + 8 + BOOL - - TcLinkTo - TIIB[EL3202-0010_M1K1DS2_M1K1DS3]^RTD Inputs Channel 2^Value - TcAddressType Input - - TcVarGlobal - - 1293584176 + 1293174288 - GVL_M1K2.M1K2_Pitch.diEncCnt - Raw encoder count - 64 - LINT + PRG_SL1K2_EXIT.RTD_YAG.bOverrange + 8 + BOOL TcAddressType Input - 1293586880 + 1293174296 - GVL_M3K2.nM3K2US_RTD_1 - M3K2 US RTDs + PRG_SL1K2_EXIT.RTD_YAG.iRaw 16 INT - - TcLinkTo - TIIB[EL3202-0010_M3K2US1_M3K2US2]^RTD Inputs Channel 1^Value - TcAddressType Input - - TcVarGlobal - - 1293587456 + 1293174304 - GVL_M3K2.nM3K2US_RTD_2 - 16 - INT + PRG_SL1K2_EXIT.RTD_HeatSync.bError + 8 + BOOL + + true + - TcLinkTo - TIIB[EL3202-0010_M3K2US1_M3K2US2]^RTD Inputs Channel 2^Value + pytmc + + pv: ERR + io: input + field: ONAM True + field: ZNAM False + TcAddressType Input - - TcVarGlobal - - 1293587472 + 1293174536 - GVL_M3K2.nM3K2US_RTD_3 - 16 - INT + PRG_SL1K2_EXIT.RTD_HeatSync.bUnderrange + 8 + BOOL - - TcLinkTo - TIIB[EL3202-0010_M3K2US3_M3K2DS1]^RTD Inputs Channel 1^Value - TcAddressType Input - - TcVarGlobal - - 1293587488 + 1293174544 - GVL_M3K2.nM3K2DS_RTD_1 - M3K2 DS RTDs - 16 - INT + PRG_SL1K2_EXIT.RTD_HeatSync.bOverrange + 8 + BOOL - - TcLinkTo - TIIB[EL3202-0010_M3K2US3_M3K2DS1]^RTD Inputs Channel 2^Value - TcAddressType Input - - TcVarGlobal - - 1293587504 + 1293174552 - GVL_M3K2.nM3K2DS_RTD_2 + PRG_SL1K2_EXIT.RTD_HeatSync.iRaw 16 INT - - TcLinkTo - TIIB[EL3202-0010_M3K2DS2_M3K2DS3]^RTD Inputs Channel 1^Value - TcAddressType Input - - TcVarGlobal - - 1293587520 + 1293174560 - GVL_M3K2.nM3K2DS_RTD_3 + PRG_SL1K2_EXIT.fbGige.fbGetIllPercent.iRaw + Connect this input to the terminal 16 INT - - TcLinkTo - TIIB[EL3202-0010_M3K2DS2_M3K2DS3]^RTD Inputs Channel 2^Value - TcAddressType Input - - TcVarGlobal - - 1293587536 + 1293174848 - GVL_M4K2.nM4K2US_RTD_1 - M4K2 US RTDs + PRG_SL1K2_EXIT.fbFlowMeter.iRaw + Connect this input to the terminal 16 INT - - TcLinkTo - TIIB[EL3202-0010_M4K2US1_M4K2US2]^RTD Inputs Channel 1^Value - TcAddressType Input - - TcVarGlobal - - 1293587552 + 1293176000 - GVL_M4K2.nM4K2US_RTD_2 - 16 - INT + PRG_ST1K1_ZOS.fbZOS.fbDriveVirtual.MasterAxis.NcToPlc + 2048 + NCTOPLC_AXIS_REF - - TcLinkTo - TIIB[EL3202-0010_M4K2US1_M4K2US2]^RTD Inputs Channel 2^Value - TcAddressType Input - - TcVarGlobal - - 1293587568 + 1293179392 - GVL_M4K2.nM4K2US_RTD_3 - 16 - INT + PRG_2_PMPS_POST.fbArbiterIO.i_stCurrentBP + 1760 + ST_BeamParams_IO TcLinkTo - TIIB[EL3202-0010_M4K2US3_M4K2DS1]^RTD Inputs Channel 1^Value + TIIB[PMPS_PRE]^IO Inputs^CurrentBP TcAddressType Input - - TcVarGlobal - - 1293588160 + 1293506368 - GVL_M4K2.nM4K2DS_RTD_1 - M4K2 DS RTDs - 16 - INT + PRG_2_PMPS_POST.fbArbiterIO.xTxPDO_toggle + 1 + BIT - TcLinkTo - TIIB[EL3202-0010_M4K2US3_M4K2DS1]^RTD Inputs Channel 2^Value - - - TcAddressType - Input - - - TcVarGlobal + pytmc + pv: TxPDO_toggle + io: i - - 1293588176 - - - GVL_M4K2.nM4K2DS_RTD_2 - 16 - INT - TcLinkTo - TIIB[EL3202-0010_M4K2DS2_M4K2DS3]^RTD Inputs Channel 1^Value + TIIB[PMPS_PRE]^SYNC Inputs^TxPDO toggle TcAddressType Input - - TcVarGlobal - - 1293588192 + 1293509888 - GVL_M4K2.nM4K2DS_RTD_3 - 16 - INT + PRG_2_PMPS_POST.fbArbiterIO.xTxPDO_state + 1 + BIT - TcLinkTo - TIIB[EL3202-0010_M4K2DS2_M4K2DS3]^RTD Inputs Channel 2^Value - - - TcAddressType - Input + pytmc + pv: TxPDO_state + io: i - TcVarGlobal + TcLinkTo + TIIB[PMPS_PRE]^SYNC Inputs^TxPDO state - - 1293588208 - - - Main.M1.Axis.NcToPlc - 2048 - NCTOPLC_AXIS_REF - TcAddressType Input - 1295644800 + 1293509889 - Main.M1.bLimitForwardEnable - NC Forward Limit Switch: TRUE if ok to move + PRG_MR2K2_FLAT.M2K2FLATbSTOEnable1 + Emergency Stop for MR2K2 //M2K2 needs an EL1004 term and an ESTOP added, not listed in I/O either 8 BOOL + + TcLinkTo + TIID^Device 1 (EtherCAT)^Term 1 (EK1200)^Term 79 (EK1521-0010)^Term 306 (EK1501-0010)^Term 310 (EK1122)^EK1100_MR2K2^EL1004_M2K2_STO^Channel 1^Input + TcAddressType Input - 1295652736 + 1295000000 - Main.M1.bLimitBackwardEnable - NC Backward Limit Switch: TRUE if ok to move + PRG_MR2K2_FLAT.M2K2FLATbSTOEnable2 8 BOOL + + TcLinkTo + TIID^Device 1 (EtherCAT)^Term 1 (EK1200)^Term 79 (EK1521-0010)^Term 306 (EK1501-0010)^Term 310 (EK1122)^EK1100_MR2K2^EL1004_M2K2_STO^Channel 2^Input + TcAddressType Input - 1295652744 + 1295000008 - Main.M1.bHome - NO Home Switch: TRUE if at home + PRG_MR3K2_KBH.bM3K2US_RTD_1_Err + RTD error bit 8 BOOL @@ -85915,60 +86097,49 @@ Emergency Stop for MR1K1 Input - 1295652752 + 1295000016 - Main.M1.bHardwareEnable - NC STO Input: TRUE if ok to move + PRG_MR3K2_KBH.bM3K2US_RTD_2_Err 8 BOOL - - pytmc - - pv: PLC:bHardwareEnable - io: i - field: ZNAM FALSE - field: ONAM TRUE - field: DESC TRUE if STO not hit - - TcAddressType Input - 1295652768 + 1295000024 - Main.M1.nRawEncoderULINT - Raw encoder IO for ULINT (Biss-C) - 64 - ULINT + PRG_MR2K2_FLAT.fbCoolingPanel.fbFlow_1.iRaw + Connect this input to the terminal + 16 + INT TcAddressType Input - 1295652800 + 1295000320 - Main.M1.nRawEncoderUINT - Raw encoder IO for UINT (Relative Encoders) + PRG_MR2K2_FLAT.fbCoolingPanel.fbPress_1.iRaw + Connect this input to the terminal 16 - UINT + INT TcAddressType Input - 1295652864 + 1295000832 - Main.M1.nRawEncoderINT - Raw encoder IO for INT (LVDT) + PRG_MR2K2_FLAT.fbCoolingPanel.fbFlow_2.iRaw + Connect this input to the terminal 16 INT @@ -85977,35 +86148,34 @@ Emergency Stop for MR1K1 Input - 1295652880 + 1295001408 - Main.fbMotionStage_m1.fbDriveVirtual.MasterAxis.NcToPlc - 2048 - NCTOPLC_AXIS_REF + PRG_MR3K2_KBH.bM3K2US_RTD_3_Err + 8 + BOOL TcAddressType Input - 1295672256 + 1296940576 - Main.M2.Axis.NcToPlc - 2048 - NCTOPLC_AXIS_REF + PRG_MR3K2_KBH.bM3K2DS_RTD_1_Err + 8 + BOOL TcAddressType Input - 1295998144 + 1296940584 - Main.M2.bLimitForwardEnable - NC Forward Limit Switch: TRUE if ok to move + PRG_MR3K2_KBH.bM3K2DS_RTD_2_Err 8 BOOL @@ -86014,11 +86184,10 @@ Emergency Stop for MR1K1 Input - 1296006080 + 1296940592 - Main.M2.bLimitBackwardEnable - NC Backward Limit Switch: TRUE if ok to move + PRG_MR3K2_KBH.bM3K2DS_RTD_3_Err 8 BOOL @@ -86027,73 +86196,69 @@ Emergency Stop for MR1K1 Input - 1296006088 + 1296940600 - Main.M2.bHome - NO Home Switch: TRUE if at home + PRG_MR3K2_KBH.M3K2KBHbSTOEnable1 + Emergency Stop for MR3K2 8 BOOL + + TcLinkTo + TIIB[EL1004_M3K2_STO]^Channel 1^Input + TcAddressType Input - 1296006096 + 1296940608 - Main.M2.bHardwareEnable - NC STO Input: TRUE if ok to move + PRG_MR3K2_KBH.M3K2KBHbSTOEnable2 8 BOOL - pytmc - - pv: PLC:bHardwareEnable - io: i - field: ZNAM FALSE - field: ONAM TRUE - field: DESC TRUE if STO not hit - + TcLinkTo + TIIB[EL1004_M3K2_STO]^Channel 2^Input TcAddressType Input - 1296006112 + 1296940616 - Main.M2.nRawEncoderULINT - Raw encoder IO for ULINT (Biss-C) - 64 - ULINT + PRG_MR4K2_KBV.bM4K2US_RTD_1_Err + RTD error bit + 8 + BOOL TcAddressType Input - 1296006144 + 1296940624 - Main.M2.nRawEncoderUINT - Raw encoder IO for UINT (Relative Encoders) - 16 - UINT + PRG_MR4K2_KBV.bM4K2US_RTD_2_Err + 8 + BOOL TcAddressType Input - 1296006208 + 1296940632 - Main.M2.nRawEncoderINT - Raw encoder IO for INT (LVDT) + PRG_MR3K2_KBH.fbCoolingPanel.fbFlow_1.iRaw + Connect this input to the terminal 16 INT @@ -86102,35 +86267,35 @@ Emergency Stop for MR1K1 Input - 1296006224 + 1296940928 - Main.fbMotionStage_m2.fbDriveVirtual.MasterAxis.NcToPlc - 2048 - NCTOPLC_AXIS_REF + PRG_MR3K2_KBH.fbCoolingPanel.fbPress_1.iRaw + Connect this input to the terminal + 16 + INT TcAddressType Input - 1296025600 + 1296941440 - Main.M3.Axis.NcToPlc - 2048 - NCTOPLC_AXIS_REF + PRG_MR4K2_KBV.bM4K2US_RTD_3_Err + 8 + BOOL TcAddressType Input - 1296351488 + 1298880608 - Main.M3.bLimitForwardEnable - NC Forward Limit Switch: TRUE if ok to move + PRG_MR4K2_KBV.bM4K2DS_RTD_1_Err 8 BOOL @@ -86139,11 +86304,10 @@ Emergency Stop for MR1K1 Input - 1296359424 + 1298880616 - Main.M3.bLimitBackwardEnable - NC Backward Limit Switch: TRUE if ok to move + PRG_MR4K2_KBV.bM4K2DS_RTD_2_Err 8 BOOL @@ -86152,11 +86316,10 @@ Emergency Stop for MR1K1 Input - 1296359432 + 1298880624 - Main.M3.bHome - NO Home Switch: TRUE if at home + PRG_MR4K2_KBV.bM4K2DS_RTD_3_Err 8 BOOL @@ -86165,22 +86328,23 @@ Emergency Stop for MR1K1 Input - 1296359440 + 1298880632 - Main.M3.bHardwareEnable - NC STO Input: TRUE if ok to move + PRG_MR4K2_KBV.nM4K2_Chin_Right_RTD.bError 8 BOOL + + true + pytmc - pv: PLC:bHardwareEnable - io: i - field: ZNAM FALSE - field: ONAM TRUE - field: DESC TRUE if STO not hit + pv: ERR + io: input + field: ONAM True + field: ZNAM False @@ -86188,37 +86352,34 @@ Emergency Stop for MR1K1 Input - 1296359456 + 1298880840 - Main.M3.nRawEncoderULINT - Raw encoder IO for ULINT (Biss-C) - 64 - ULINT + PRG_MR4K2_KBV.nM4K2_Chin_Right_RTD.bUnderrange + 8 + BOOL TcAddressType Input - 1296359488 + 1298880848 - Main.M3.nRawEncoderUINT - Raw encoder IO for UINT (Relative Encoders) - 16 - UINT + PRG_MR4K2_KBV.nM4K2_Chin_Right_RTD.bOverrange + 8 + BOOL TcAddressType Input - 1296359552 + 1298880856 - Main.M3.nRawEncoderINT - Raw encoder IO for INT (LVDT) + PRG_MR4K2_KBV.nM4K2_Chin_Right_RTD.iRaw 16 INT @@ -86227,35 +86388,34 @@ Emergency Stop for MR1K1 Input - 1296359568 + 1298880864 - Main.fbMotionStage_m3.fbDriveVirtual.MasterAxis.NcToPlc - 2048 - NCTOPLC_AXIS_REF + PRG_MR4K2_KBV.nM4K2_Chin_Left_RTD.bError + 8 + BOOL + + true + - TcAddressType - Input + pytmc + + pv: ERR + io: input + field: ONAM True + field: ZNAM False + - - 1296378944 - - - Main.M4.Axis.NcToPlc - 2048 - NCTOPLC_AXIS_REF - TcAddressType Input - 1296704832 + 1298881096 - Main.M4.bLimitForwardEnable - NC Forward Limit Switch: TRUE if ok to move + PRG_MR4K2_KBV.nM4K2_Chin_Left_RTD.bUnderrange 8 BOOL @@ -86264,11 +86424,10 @@ Emergency Stop for MR1K1 Input - 1296712768 + 1298881104 - Main.M4.bLimitBackwardEnable - NC Backward Limit Switch: TRUE if ok to move + PRG_MR4K2_KBV.nM4K2_Chin_Left_RTD.bOverrange 8 BOOL @@ -86277,399 +86436,455 @@ Emergency Stop for MR1K1 Input - 1296712776 + 1298881112 - Main.M4.bHome - NO Home Switch: TRUE if at home - 8 - BOOL + PRG_MR4K2_KBV.nM4K2_Chin_Left_RTD.iRaw + 16 + INT TcAddressType Input - 1296712784 + 1298881120 - Main.M4.bHardwareEnable - NC STO Input: TRUE if ok to move + PRG_MR4K2_KBV.M4K2KBVbSTOEnable1 + Emergency Stop for MR4K2 8 BOOL - pytmc - - pv: PLC:bHardwareEnable - io: i - field: ZNAM FALSE - field: ONAM TRUE - field: DESC TRUE if STO not hit - + TcLinkTo + TIID^Device 1 (EtherCAT)^Term 1 (EK1200)^Term 79 (EK1521-0010)^Term 306 (EK1501-0010)^Term 322 (EK1122)^EK1100_MR4K2_BENDER^EL1004_M4K2_STO^Channel 1^Input TcAddressType Input - 1296712800 + 1298881152 - Main.M4.nRawEncoderULINT - Raw encoder IO for ULINT (Biss-C) - 64 - ULINT + PRG_MR4K2_KBV.M4K2KBVbSTOEnable2 + 8 + BOOL + + TcLinkTo + TIID^Device 1 (EtherCAT)^Term 1 (EK1200)^Term 79 (EK1521-0010)^Term 306 (EK1501-0010)^Term 322 (EK1122)^EK1100_MR4K2_BENDER^EL1004_M4K2_STO^Channel 2^Input + TcAddressType Input - 1296712832 + 1298881160 - Main.M4.nRawEncoderUINT - Raw encoder IO for UINT (Relative Encoders) + GVL_M1K1_BENDER_RTD.nM1K1US_RTD_1 + M1K1 US RTDs 16 - UINT + INT + + TcLinkTo + TIIB[EL3202-0010_M1K1US1_M1K1US2]^RTD Inputs Channel 1^Value + TcAddressType Input + + TcVarGlobal + - 1296712896 + 1298881168 - Main.M4.nRawEncoderINT - Raw encoder IO for INT (LVDT) + GVL_M1K1_BENDER_RTD.nM1K1US_RTD_2 16 INT - TcAddressType - Input + TcLinkTo + TIIB[EL3202-0010_M1K1US1_M1K1US2]^RTD Inputs Channel 2^Value - - 1296712912 - - - Main.fbMotionStage_m4.fbDriveVirtual.MasterAxis.NcToPlc - 2048 - NCTOPLC_AXIS_REF - TcAddressType Input + + TcVarGlobal + - 1296732288 + 1298881184 - Main.M5.Axis.NcToPlc - 2048 - NCTOPLC_AXIS_REF + GVL_M1K1_BENDER_RTD.nM1K1US_RTD_3 + 16 + INT + + TcLinkTo + TIIB[EL3202-0010_M1K1US3_M1K1DS1]^RTD Inputs Channel 1^Value + TcAddressType Input + + TcVarGlobal + - 1297058176 + 1298881200 - Main.M5.bLimitForwardEnable - NC Forward Limit Switch: TRUE if ok to move - 8 - BOOL + PRG_MR4K2_KBV.fbCoolingPanel.fbFlow_1.iRaw + Connect this input to the terminal + 16 + INT TcAddressType Input - 1297066112 + 1298881472 - Main.M5.bLimitBackwardEnable - NC Backward Limit Switch: TRUE if ok to move - 8 - BOOL + PRG_MR4K2_KBV.fbCoolingPanel.fbPress_1.iRaw + Connect this input to the terminal + 16 + INT TcAddressType Input - 1297066120 + 1298881984 - Main.M5.bHome - NO Home Switch: TRUE if at home - 8 - BOOL + GVL_M1K1_BENDER_RTD.nM1K1DS_RTD_1 + M1K1 DS RTDs + 16 + INT + + TcLinkTo + TIIB[EL3202-0010_M1K1US3_M1K1DS1]^RTD Inputs Channel 2^Value + TcAddressType Input + + TcVarGlobal + - 1297066128 + 1298887456 - Main.M5.bHardwareEnable - NC STO Input: TRUE if ok to move - 8 - BOOL + GVL_M1K1_BENDER_RTD.nM1K1DS_RTD_2 + 16 + INT - pytmc - - pv: PLC:bHardwareEnable - io: i - field: ZNAM FALSE - field: ONAM TRUE - field: DESC TRUE if STO not hit - + TcLinkTo + TIIB[EL3202-0010_M1K1DS2_M1K1DS3]^RTD Inputs Channel 1^Value TcAddressType Input + + TcVarGlobal + - 1297066144 + 1298887472 - Main.M5.nRawEncoderULINT - Raw encoder IO for ULINT (Biss-C) + GVL_M1K1.M1K1_Pitch.diEncCnt + Raw encoder count 64 - ULINT + LINT TcAddressType Input - 1297066176 + 1298889920 - Main.M5.nRawEncoderUINT - Raw encoder IO for UINT (Relative Encoders) + GVL_M1K1_BENDER_RTD.nM1K1DS_RTD_3 16 - UINT + INT + + TcLinkTo + TIIB[EL3202-0010_M1K1DS2_M1K1DS3]^RTD Inputs Channel 2^Value + TcAddressType Input + + TcVarGlobal + - 1297066240 + 1298890368 - Main.M5.nRawEncoderINT - Raw encoder IO for INT (LVDT) + GVL_M3K2.nM3K2US_RTD_1 + M3K2 US RTDs 16 INT - TcAddressType - Input + TcLinkTo + TIIB[EL3202-0010_M3K2US1_M3K2US2]^RTD Inputs Channel 1^Value - - 1297066256 - - - Main.M6.Axis.NcToPlc - 2048 - NCTOPLC_AXIS_REF - TcAddressType Input + + TcVarGlobal + - 1297084096 + 1298890384 - Main.M6.bLimitForwardEnable - NC Forward Limit Switch: TRUE if ok to move - 8 - BOOL + GVL_M3K2.nM3K2US_RTD_2 + 16 + INT + + TcLinkTo + TIIB[EL3202-0010_M3K2US1_M3K2US2]^RTD Inputs Channel 2^Value + TcAddressType Input + + TcVarGlobal + - 1297092032 + 1298890400 - Main.M6.bLimitBackwardEnable - NC Backward Limit Switch: TRUE if ok to move - 8 - BOOL + GVL_M3K2.nM3K2US_RTD_3 + 16 + INT + + TcLinkTo + TIIB[EL3202-0010_M3K2US3_M3K2DS1]^RTD Inputs Channel 1^Value + TcAddressType Input + + TcVarGlobal + - 1297092040 + 1298890416 - Main.M6.bHome - NO Home Switch: TRUE if at home - 8 - BOOL + GVL_M1K2.M1K2_Pitch.diEncCnt + Raw encoder count + 64 + LINT TcAddressType Input - 1297092048 + 1298893120 - Main.M6.bHardwareEnable - NC STO Input: TRUE if ok to move - 8 - BOOL + GVL_M3K2.nM3K2DS_RTD_1 + M3K2 DS RTDs + 16 + INT - pytmc - - pv: PLC:bHardwareEnable - io: i - field: ZNAM FALSE - field: ONAM TRUE - field: DESC TRUE if STO not hit - + TcLinkTo + TIIB[EL3202-0010_M3K2US3_M3K2DS1]^RTD Inputs Channel 2^Value TcAddressType Input + + TcVarGlobal + - 1297092064 + 1298893696 - Main.M6.nRawEncoderULINT - Raw encoder IO for ULINT (Biss-C) - 64 - ULINT + GVL_M3K2.nM3K2DS_RTD_2 + 16 + INT + + TcLinkTo + TIIB[EL3202-0010_M3K2DS2_M3K2DS3]^RTD Inputs Channel 1^Value + TcAddressType Input + + TcVarGlobal + - 1297092096 + 1298893712 - Main.M6.nRawEncoderUINT - Raw encoder IO for UINT (Relative Encoders) + GVL_M3K2.nM3K2DS_RTD_3 16 - UINT + INT + + TcLinkTo + TIIB[EL3202-0010_M3K2DS2_M3K2DS3]^RTD Inputs Channel 2^Value + TcAddressType Input + + TcVarGlobal + - 1297092160 + 1298893728 - Main.M6.nRawEncoderINT - Raw encoder IO for INT (LVDT) + GVL_M4K2.nM4K2US_RTD_1 + M4K2 US RTDs 16 INT + + TcLinkTo + TIIB[EL3202-0010_M4K2US1_M4K2US2]^RTD Inputs Channel 1^Value + TcAddressType Input + + TcVarGlobal + - 1297092176 + 1298893744 - Main.M7.bLimitForwardEnable - NC Forward Limit Switch: TRUE if ok to move - 8 - BOOL + GVL_M4K2.nM4K2US_RTD_2 + 16 + INT + + TcLinkTo + TIIB[EL3202-0010_M4K2US1_M4K2US2]^RTD Inputs Channel 2^Value + TcAddressType Input + + TcVarGlobal + - 1297117952 + 1298894336 - Main.M7.bLimitBackwardEnable - NC Backward Limit Switch: TRUE if ok to move - 8 - BOOL + GVL_M4K2.nM4K2US_RTD_3 + 16 + INT + + TcLinkTo + TIIB[EL3202-0010_M4K2US3_M4K2DS1]^RTD Inputs Channel 1^Value + TcAddressType Input + + TcVarGlobal + - 1297117960 + 1298894352 - Main.M7.bHome - NO Home Switch: TRUE if at home - 8 - BOOL + GVL_M4K2.nM4K2DS_RTD_1 + M4K2 DS RTDs + 16 + INT + + TcLinkTo + TIIB[EL3202-0010_M4K2US3_M4K2DS1]^RTD Inputs Channel 2^Value + TcAddressType Input + + TcVarGlobal + - 1297117968 + 1298894368 - Main.M7.bHardwareEnable - NC STO Input: TRUE if ok to move - 8 - BOOL + GVL_M4K2.nM4K2DS_RTD_2 + 16 + INT - pytmc - - pv: PLC:bHardwareEnable - io: i - field: ZNAM FALSE - field: ONAM TRUE - field: DESC TRUE if STO not hit - + TcLinkTo + TIIB[EL3202-0010_M4K2DS2_M4K2DS3]^RTD Inputs Channel 1^Value TcAddressType Input + + TcVarGlobal + - 1297117984 + 1298894384 - Main.M7.nRawEncoderULINT - Raw encoder IO for ULINT (Biss-C) - 64 - ULINT + GVL_M4K2.nM4K2DS_RTD_3 + 16 + INT + + TcLinkTo + TIIB[EL3202-0010_M4K2DS2_M4K2DS3]^RTD Inputs Channel 2^Value + TcAddressType Input + + TcVarGlobal + - 1297118016 + 1298894400 - Main.M7.nRawEncoderUINT - Raw encoder IO for UINT (Relative Encoders) + Main.sio_current 16 UINT @@ -86677,24 +86892,29 @@ Emergency Stop for MR1K1 TcAddressType Input + + TcVarGlobal + - 1297118080 + 1298894416 - Main.M7.nRawEncoderINT - Raw encoder IO for INT (LVDT) + Main.sio_load 16 - INT + UINT TcAddressType Input + + TcVarGlobal + - 1297118096 + 1300935328 - Main.M8.Axis.NcToPlc + Main.M1.Axis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -86703,10 +86923,10 @@ Emergency Stop for MR1K1 Input - 1297135936 + 1300951040 - Main.M8.bLimitForwardEnable + Main.M1.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -86716,10 +86936,10 @@ Emergency Stop for MR1K1 Input - 1297143872 + 1300958976 - Main.M8.bLimitBackwardEnable + Main.M1.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -86729,10 +86949,10 @@ Emergency Stop for MR1K1 Input - 1297143880 + 1300958984 - Main.M8.bHome + Main.M1.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -86742,10 +86962,10 @@ Emergency Stop for MR1K1 Input - 1297143888 + 1300958992 - Main.M8.bHardwareEnable + Main.M1.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -86765,10 +86985,10 @@ Emergency Stop for MR1K1 Input - 1297143904 + 1300959008 - Main.M8.nRawEncoderULINT + Main.M1.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -86778,10 +86998,10 @@ Emergency Stop for MR1K1 Input - 1297143936 + 1300959040 - Main.M8.nRawEncoderUINT + Main.M1.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -86791,10 +87011,10 @@ Emergency Stop for MR1K1 Input - 1297144000 + 1300959104 - Main.M8.nRawEncoderINT + Main.M1.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -86804,10 +87024,10 @@ Emergency Stop for MR1K1 Input - 1297144016 + 1300959120 - Main.M9.Axis.NcToPlc + Main.fbMotionStage_m1.fbDriveVirtual.MasterAxis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -86816,10 +87036,22 @@ Emergency Stop for MR1K1 Input - 1297161856 + 1300978496 - Main.M9.bLimitForwardEnable + Main.M2.Axis.NcToPlc + 2048 + NCTOPLC_AXIS_REF + + + TcAddressType + Input + + + 1301304384 + + + Main.M2.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -86829,10 +87061,10 @@ Emergency Stop for MR1K1 Input - 1297169792 + 1301312320 - Main.M9.bLimitBackwardEnable + Main.M2.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -86842,10 +87074,10 @@ Emergency Stop for MR1K1 Input - 1297169800 + 1301312328 - Main.M9.bHome + Main.M2.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -86855,10 +87087,10 @@ Emergency Stop for MR1K1 Input - 1297169808 + 1301312336 - Main.M9.bHardwareEnable + Main.M2.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -86878,10 +87110,10 @@ Emergency Stop for MR1K1 Input - 1297169824 + 1301312352 - Main.M9.nRawEncoderULINT + Main.M2.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -86891,10 +87123,10 @@ Emergency Stop for MR1K1 Input - 1297169856 + 1301312384 - Main.M9.nRawEncoderUINT + Main.M2.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -86904,10 +87136,10 @@ Emergency Stop for MR1K1 Input - 1297169920 + 1301312448 - Main.M9.nRawEncoderINT + Main.M2.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -86917,10 +87149,10 @@ Emergency Stop for MR1K1 Input - 1297169936 + 1301312464 - Main.M10.Axis.NcToPlc + Main.fbMotionStage_m2.fbDriveVirtual.MasterAxis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -86929,10 +87161,22 @@ Emergency Stop for MR1K1 Input - 1297187776 + 1301331840 - Main.M10.bLimitForwardEnable + Main.M3.Axis.NcToPlc + 2048 + NCTOPLC_AXIS_REF + + + TcAddressType + Input + + + 1301657728 + + + Main.M3.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -86942,10 +87186,10 @@ Emergency Stop for MR1K1 Input - 1297195712 + 1301665664 - Main.M10.bLimitBackwardEnable + Main.M3.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -86955,10 +87199,10 @@ Emergency Stop for MR1K1 Input - 1297195720 + 1301665672 - Main.M10.bHome + Main.M3.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -86968,10 +87212,10 @@ Emergency Stop for MR1K1 Input - 1297195728 + 1301665680 - Main.M10.bHardwareEnable + Main.M3.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -86991,10 +87235,10 @@ Emergency Stop for MR1K1 Input - 1297195744 + 1301665696 - Main.M10.nRawEncoderULINT + Main.M3.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -87004,10 +87248,10 @@ Emergency Stop for MR1K1 Input - 1297195776 + 1301665728 - Main.M10.nRawEncoderUINT + Main.M3.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -87017,10 +87261,10 @@ Emergency Stop for MR1K1 Input - 1297195840 + 1301665792 - Main.M10.nRawEncoderINT + Main.M3.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -87030,10 +87274,10 @@ Emergency Stop for MR1K1 Input - 1297195856 + 1301665808 - Main.M11.Axis.NcToPlc + Main.fbMotionStage_m3.fbDriveVirtual.MasterAxis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -87042,10 +87286,22 @@ Emergency Stop for MR1K1 Input - 1297213696 + 1301685184 - Main.M11.bLimitForwardEnable + Main.M4.Axis.NcToPlc + 2048 + NCTOPLC_AXIS_REF + + + TcAddressType + Input + + + 1302011072 + + + Main.M4.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -87055,10 +87311,10 @@ Emergency Stop for MR1K1 Input - 1297221632 + 1302019008 - Main.M11.bLimitBackwardEnable + Main.M4.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -87068,10 +87324,10 @@ Emergency Stop for MR1K1 Input - 1297221640 + 1302019016 - Main.M11.bHome + Main.M4.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -87081,10 +87337,10 @@ Emergency Stop for MR1K1 Input - 1297221648 + 1302019024 - Main.M11.bHardwareEnable + Main.M4.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -87104,10 +87360,10 @@ Emergency Stop for MR1K1 Input - 1297221664 + 1302019040 - Main.M11.nRawEncoderULINT + Main.M4.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -87117,10 +87373,10 @@ Emergency Stop for MR1K1 Input - 1297221696 + 1302019072 - Main.M11.nRawEncoderUINT + Main.M4.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -87130,10 +87386,10 @@ Emergency Stop for MR1K1 Input - 1297221760 + 1302019136 - Main.M11.nRawEncoderINT + Main.M4.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -87143,10 +87399,10 @@ Emergency Stop for MR1K1 Input - 1297221776 + 1302019152 - Main.M12.Axis.NcToPlc + Main.fbMotionStage_m4.fbDriveVirtual.MasterAxis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -87155,10 +87411,22 @@ Emergency Stop for MR1K1 Input - 1297239616 + 1302038528 - Main.M12.bLimitForwardEnable + Main.M5.Axis.NcToPlc + 2048 + NCTOPLC_AXIS_REF + + + TcAddressType + Input + + + 1302364416 + + + Main.M5.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -87168,10 +87436,10 @@ Emergency Stop for MR1K1 Input - 1297247552 + 1302372352 - Main.M12.bLimitBackwardEnable + Main.M5.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -87181,10 +87449,10 @@ Emergency Stop for MR1K1 Input - 1297247560 + 1302372360 - Main.M12.bHome + Main.M5.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -87194,10 +87462,10 @@ Emergency Stop for MR1K1 Input - 1297247568 + 1302372368 - Main.M12.bHardwareEnable + Main.M5.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -87217,10 +87485,10 @@ Emergency Stop for MR1K1 Input - 1297247584 + 1302372384 - Main.M12.nRawEncoderULINT + Main.M5.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -87230,10 +87498,10 @@ Emergency Stop for MR1K1 Input - 1297247616 + 1302372416 - Main.M12.nRawEncoderUINT + Main.M5.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -87243,10 +87511,10 @@ Emergency Stop for MR1K1 Input - 1297247680 + 1302372480 - Main.M12.nRawEncoderINT + Main.M5.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -87256,22 +87524,10 @@ Emergency Stop for MR1K1 Input - 1297247696 + 1302372496 - Main.fbMotionStage_m12.fbDriveVirtual.MasterAxis.NcToPlc - 2048 - NCTOPLC_AXIS_REF - - - TcAddressType - Input - - - 1297267072 - - - Main.M13.Axis.NcToPlc + Main.M6.Axis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -87280,10 +87536,10 @@ Emergency Stop for MR1K1 Input - 1297592960 + 1302390336 - Main.M13.bLimitForwardEnable + Main.M6.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -87293,10 +87549,10 @@ Emergency Stop for MR1K1 Input - 1297600896 + 1302398272 - Main.M13.bLimitBackwardEnable + Main.M6.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -87306,10 +87562,10 @@ Emergency Stop for MR1K1 Input - 1297600904 + 1302398280 - Main.M13.bHome + Main.M6.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -87319,10 +87575,10 @@ Emergency Stop for MR1K1 Input - 1297600912 + 1302398288 - Main.M13.bHardwareEnable + Main.M6.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -87342,10 +87598,10 @@ Emergency Stop for MR1K1 Input - 1297600928 + 1302398304 - Main.M13.nRawEncoderULINT + Main.M6.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -87355,10 +87611,10 @@ Emergency Stop for MR1K1 Input - 1297600960 + 1302398336 - Main.M13.nRawEncoderUINT + Main.M6.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -87368,10 +87624,10 @@ Emergency Stop for MR1K1 Input - 1297601024 + 1302398400 - Main.M13.nRawEncoderINT + Main.M6.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -87381,34 +87637,10 @@ Emergency Stop for MR1K1 Input - 1297601040 - - - Main.fbMotionStage_m13.fbDriveVirtual.MasterAxis.NcToPlc - 2048 - NCTOPLC_AXIS_REF - - - TcAddressType - Input - - - 1297620416 + 1302398416 - Main.M14.Axis.NcToPlc - 2048 - NCTOPLC_AXIS_REF - - - TcAddressType - Input - - - 1297946304 - - - Main.M14.bLimitForwardEnable + Main.M7.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -87418,10 +87650,10 @@ Emergency Stop for MR1K1 Input - 1297954240 + 1302424192 - Main.M14.bLimitBackwardEnable + Main.M7.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -87431,10 +87663,10 @@ Emergency Stop for MR1K1 Input - 1297954248 + 1302424200 - Main.M14.bHome + Main.M7.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -87444,10 +87676,10 @@ Emergency Stop for MR1K1 Input - 1297954256 + 1302424208 - Main.M14.bHardwareEnable + Main.M7.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -87467,10 +87699,10 @@ Emergency Stop for MR1K1 Input - 1297954272 + 1302424224 - Main.M14.nRawEncoderULINT + Main.M7.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -87480,10 +87712,10 @@ Emergency Stop for MR1K1 Input - 1297954304 + 1302424256 - Main.M14.nRawEncoderUINT + Main.M7.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -87493,10 +87725,10 @@ Emergency Stop for MR1K1 Input - 1297954368 + 1302424320 - Main.M14.nRawEncoderINT + Main.M7.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -87506,22 +87738,10 @@ Emergency Stop for MR1K1 Input - 1297954384 + 1302424336 - Main.fbMotionStage_m14.fbDriveVirtual.MasterAxis.NcToPlc - 2048 - NCTOPLC_AXIS_REF - - - TcAddressType - Input - - - 1297973760 - - - Main.M15.Axis.NcToPlc + Main.M8.Axis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -87530,10 +87750,10 @@ Emergency Stop for MR1K1 Input - 1298299648 + 1302442176 - Main.M15.bLimitForwardEnable + Main.M8.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -87543,10 +87763,10 @@ Emergency Stop for MR1K1 Input - 1298307584 + 1302450112 - Main.M15.bLimitBackwardEnable + Main.M8.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -87556,10 +87776,10 @@ Emergency Stop for MR1K1 Input - 1298307592 + 1302450120 - Main.M15.bHome + Main.M8.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -87569,10 +87789,10 @@ Emergency Stop for MR1K1 Input - 1298307600 + 1302450128 - Main.M15.bHardwareEnable + Main.M8.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -87592,10 +87812,10 @@ Emergency Stop for MR1K1 Input - 1298307616 + 1302450144 - Main.M15.nRawEncoderULINT + Main.M8.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -87605,10 +87825,10 @@ Emergency Stop for MR1K1 Input - 1298307648 + 1302450176 - Main.M15.nRawEncoderUINT + Main.M8.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -87618,10 +87838,10 @@ Emergency Stop for MR1K1 Input - 1298307712 + 1302450240 - Main.M15.nRawEncoderINT + Main.M8.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -87631,22 +87851,10 @@ Emergency Stop for MR1K1 Input - 1298307728 + 1302450256 - Main.fbMotionStage_m15.fbDriveVirtual.MasterAxis.NcToPlc - 2048 - NCTOPLC_AXIS_REF - - - TcAddressType - Input - - - 1298327104 - - - Main.M16.Axis.NcToPlc + Main.M9.Axis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -87655,10 +87863,10 @@ Emergency Stop for MR1K1 Input - 1298652992 + 1302468096 - Main.M16.bLimitForwardEnable + Main.M9.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -87668,10 +87876,10 @@ Emergency Stop for MR1K1 Input - 1298660928 + 1302476032 - Main.M16.bLimitBackwardEnable + Main.M9.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -87681,10 +87889,10 @@ Emergency Stop for MR1K1 Input - 1298660936 + 1302476040 - Main.M16.bHome + Main.M9.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -87694,10 +87902,10 @@ Emergency Stop for MR1K1 Input - 1298660944 + 1302476048 - Main.M16.bHardwareEnable + Main.M9.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -87717,10 +87925,10 @@ Emergency Stop for MR1K1 Input - 1298660960 + 1302476064 - Main.M16.nRawEncoderULINT + Main.M9.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -87730,10 +87938,10 @@ Emergency Stop for MR1K1 Input - 1298660992 + 1302476096 - Main.M16.nRawEncoderUINT + Main.M9.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -87743,10 +87951,10 @@ Emergency Stop for MR1K1 Input - 1298661056 + 1302476160 - Main.M16.nRawEncoderINT + Main.M9.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -87756,10 +87964,10 @@ Emergency Stop for MR1K1 Input - 1298661072 + 1302476176 - Main.M17.Axis.NcToPlc + Main.M10.Axis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -87768,10 +87976,10 @@ Emergency Stop for MR1K1 Input - 1298678912 + 1302494016 - Main.M17.bLimitForwardEnable + Main.M10.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -87781,10 +87989,10 @@ Emergency Stop for MR1K1 Input - 1298686848 + 1302501952 - Main.M17.bLimitBackwardEnable + Main.M10.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -87794,10 +88002,10 @@ Emergency Stop for MR1K1 Input - 1298686856 + 1302501960 - Main.M17.bHome + Main.M10.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -87807,10 +88015,10 @@ Emergency Stop for MR1K1 Input - 1298686864 + 1302501968 - Main.M17.bHardwareEnable + Main.M10.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -87830,10 +88038,10 @@ Emergency Stop for MR1K1 Input - 1298686880 + 1302501984 - Main.M17.nRawEncoderULINT + Main.M10.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -87843,10 +88051,10 @@ Emergency Stop for MR1K1 Input - 1298686912 + 1302502016 - Main.M17.nRawEncoderUINT + Main.M10.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -87856,10 +88064,10 @@ Emergency Stop for MR1K1 Input - 1298686976 + 1302502080 - Main.M17.nRawEncoderINT + Main.M10.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -87869,22 +88077,10 @@ Emergency Stop for MR1K1 Input - 1298686992 + 1302502096 - Main.fbMotionStage_m17.fbDriveVirtual.MasterAxis.NcToPlc - 2048 - NCTOPLC_AXIS_REF - - - TcAddressType - Input - - - 1298706368 - - - Main.M18.Axis.NcToPlc + Main.M11.Axis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -87893,10 +88089,10 @@ Emergency Stop for MR1K1 Input - 1299032256 + 1302519936 - Main.M18.bLimitForwardEnable + Main.M11.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -87906,10 +88102,10 @@ Emergency Stop for MR1K1 Input - 1299040192 + 1302527872 - Main.M18.bLimitBackwardEnable + Main.M11.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -87919,10 +88115,10 @@ Emergency Stop for MR1K1 Input - 1299040200 + 1302527880 - Main.M18.bHome + Main.M11.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -87932,10 +88128,10 @@ Emergency Stop for MR1K1 Input - 1299040208 + 1302527888 - Main.M18.bHardwareEnable + Main.M11.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -87955,10 +88151,10 @@ Emergency Stop for MR1K1 Input - 1299040224 + 1302527904 - Main.M18.nRawEncoderULINT + Main.M11.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -87968,10 +88164,10 @@ Emergency Stop for MR1K1 Input - 1299040256 + 1302527936 - Main.M18.nRawEncoderUINT + Main.M11.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -87981,10 +88177,10 @@ Emergency Stop for MR1K1 Input - 1299040320 + 1302528000 - Main.M18.nRawEncoderINT + Main.M11.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -87994,22 +88190,10 @@ Emergency Stop for MR1K1 Input - 1299040336 - - - Main.fbMotionStage_m18.fbDriveVirtual.MasterAxis.NcToPlc - 2048 - NCTOPLC_AXIS_REF - - - TcAddressType - Input - - - 1299059712 + 1302528016 - Main.M19.Axis.NcToPlc + Main.M12.Axis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -88018,10 +88202,10 @@ Emergency Stop for MR1K1 Input - 1299385600 + 1302545856 - Main.M19.bLimitForwardEnable + Main.M12.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -88031,10 +88215,10 @@ Emergency Stop for MR1K1 Input - 1299393536 + 1302553792 - Main.M19.bLimitBackwardEnable + Main.M12.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -88044,10 +88228,10 @@ Emergency Stop for MR1K1 Input - 1299393544 + 1302553800 - Main.M19.bHome + Main.M12.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -88057,10 +88241,10 @@ Emergency Stop for MR1K1 Input - 1299393552 + 1302553808 - Main.M19.bHardwareEnable + Main.M12.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -88080,10 +88264,10 @@ Emergency Stop for MR1K1 Input - 1299393568 + 1302553824 - Main.M19.nRawEncoderULINT + Main.M12.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -88093,10 +88277,10 @@ Emergency Stop for MR1K1 Input - 1299393600 + 1302553856 - Main.M19.nRawEncoderUINT + Main.M12.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -88106,10 +88290,10 @@ Emergency Stop for MR1K1 Input - 1299393664 + 1302553920 - Main.M19.nRawEncoderINT + Main.M12.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -88119,10 +88303,10 @@ Emergency Stop for MR1K1 Input - 1299393680 + 1302553936 - Main.M20.Axis.NcToPlc + Main.fbMotionStage_m12.fbDriveVirtual.MasterAxis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -88131,111 +88315,10 @@ Emergency Stop for MR1K1 Input - 1299411520 - - - Main.M20.bLimitForwardEnable - NC Forward Limit Switch: TRUE if ok to move - 8 - BOOL - - - TcAddressType - Input - - - 1299419456 - - - Main.M20.bLimitBackwardEnable - NC Backward Limit Switch: TRUE if ok to move - 8 - BOOL - - - TcAddressType - Input - - - 1299419464 - - - Main.M20.bHome - NO Home Switch: TRUE if at home - 8 - BOOL - - - TcAddressType - Input - - - 1299419472 - - - Main.M20.bHardwareEnable - NC STO Input: TRUE if ok to move - 8 - BOOL - - - pytmc - - pv: PLC:bHardwareEnable - io: i - field: ZNAM FALSE - field: ONAM TRUE - field: DESC TRUE if STO not hit - - - - TcAddressType - Input - - - 1299419488 - - - Main.M20.nRawEncoderULINT - Raw encoder IO for ULINT (Biss-C) - 64 - ULINT - - - TcAddressType - Input - - - 1299419520 - - - Main.M20.nRawEncoderUINT - Raw encoder IO for UINT (Relative Encoders) - 16 - UINT - - - TcAddressType - Input - - - 1299419584 - - - Main.M20.nRawEncoderINT - Raw encoder IO for INT (LVDT) - 16 - INT - - - TcAddressType - Input - - - 1299419600 + 1302573312 - Main.M21.Axis.NcToPlc + Main.M13.Axis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -88244,10 +88327,10 @@ Emergency Stop for MR1K1 Input - 1299437440 + 1302899200 - Main.M21.bLimitForwardEnable + Main.M13.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -88257,10 +88340,10 @@ Emergency Stop for MR1K1 Input - 1299445376 + 1302907136 - Main.M21.bLimitBackwardEnable + Main.M13.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -88270,10 +88353,10 @@ Emergency Stop for MR1K1 Input - 1299445384 + 1302907144 - Main.M21.bHome + Main.M13.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -88283,10 +88366,10 @@ Emergency Stop for MR1K1 Input - 1299445392 + 1302907152 - Main.M21.bHardwareEnable + Main.M13.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -88306,10 +88389,10 @@ Emergency Stop for MR1K1 Input - 1299445408 + 1302907168 - Main.M21.nRawEncoderULINT + Main.M13.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -88319,10 +88402,10 @@ Emergency Stop for MR1K1 Input - 1299445440 + 1302907200 - Main.M21.nRawEncoderUINT + Main.M13.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -88332,10 +88415,10 @@ Emergency Stop for MR1K1 Input - 1299445504 + 1302907264 - Main.M21.nRawEncoderINT + Main.M13.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -88345,10 +88428,10 @@ Emergency Stop for MR1K1 Input - 1299445520 + 1302907280 - Main.M22.Axis.NcToPlc + Main.fbMotionStage_m13.fbDriveVirtual.MasterAxis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -88357,10 +88440,22 @@ Emergency Stop for MR1K1 Input - 1299463360 + 1302926656 - Main.M22.bLimitForwardEnable + Main.M14.Axis.NcToPlc + 2048 + NCTOPLC_AXIS_REF + + + TcAddressType + Input + + + 1303252544 + + + Main.M14.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -88370,10 +88465,10 @@ Emergency Stop for MR1K1 Input - 1299471296 + 1303260480 - Main.M22.bLimitBackwardEnable + Main.M14.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -88383,10 +88478,10 @@ Emergency Stop for MR1K1 Input - 1299471304 + 1303260488 - Main.M22.bHome + Main.M14.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -88396,10 +88491,10 @@ Emergency Stop for MR1K1 Input - 1299471312 + 1303260496 - Main.M22.bHardwareEnable + Main.M14.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -88419,10 +88514,10 @@ Emergency Stop for MR1K1 Input - 1299471328 + 1303260512 - Main.M22.nRawEncoderULINT + Main.M14.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -88432,10 +88527,10 @@ Emergency Stop for MR1K1 Input - 1299471360 + 1303260544 - Main.M22.nRawEncoderUINT + Main.M14.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -88445,10 +88540,10 @@ Emergency Stop for MR1K1 Input - 1299471424 + 1303260608 - Main.M22.nRawEncoderINT + Main.M14.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -88458,10 +88553,10 @@ Emergency Stop for MR1K1 Input - 1299471440 + 1303260624 - Main.M23.Axis.NcToPlc + Main.fbMotionStage_m14.fbDriveVirtual.MasterAxis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -88470,10 +88565,22 @@ Emergency Stop for MR1K1 Input - 1299489280 + 1303280000 - Main.M23.bLimitForwardEnable + Main.M15.Axis.NcToPlc + 2048 + NCTOPLC_AXIS_REF + + + TcAddressType + Input + + + 1303605888 + + + Main.M15.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -88483,10 +88590,10 @@ Emergency Stop for MR1K1 Input - 1299497216 + 1303613824 - Main.M23.bLimitBackwardEnable + Main.M15.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -88496,10 +88603,10 @@ Emergency Stop for MR1K1 Input - 1299497224 + 1303613832 - Main.M23.bHome + Main.M15.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -88509,10 +88616,10 @@ Emergency Stop for MR1K1 Input - 1299497232 + 1303613840 - Main.M23.bHardwareEnable + Main.M15.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -88532,10 +88639,10 @@ Emergency Stop for MR1K1 Input - 1299497248 + 1303613856 - Main.M23.nRawEncoderULINT + Main.M15.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -88545,10 +88652,10 @@ Emergency Stop for MR1K1 Input - 1299497280 + 1303613888 - Main.M23.nRawEncoderUINT + Main.M15.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -88558,10 +88665,10 @@ Emergency Stop for MR1K1 Input - 1299497344 + 1303613952 - Main.M23.nRawEncoderINT + Main.M15.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -88571,10 +88678,10 @@ Emergency Stop for MR1K1 Input - 1299497360 + 1303613968 - Main.M24.Axis.NcToPlc + Main.fbMotionStage_m15.fbDriveVirtual.MasterAxis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -88583,10 +88690,22 @@ Emergency Stop for MR1K1 Input - 1299515200 + 1303633344 - Main.M24.bLimitForwardEnable + Main.M16.Axis.NcToPlc + 2048 + NCTOPLC_AXIS_REF + + + TcAddressType + Input + + + 1303959232 + + + Main.M16.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -88596,10 +88715,10 @@ Emergency Stop for MR1K1 Input - 1299523136 + 1303967168 - Main.M24.bLimitBackwardEnable + Main.M16.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -88609,10 +88728,10 @@ Emergency Stop for MR1K1 Input - 1299523144 + 1303967176 - Main.M24.bHome + Main.M16.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -88622,10 +88741,10 @@ Emergency Stop for MR1K1 Input - 1299523152 + 1303967184 - Main.M24.bHardwareEnable + Main.M16.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -88645,10 +88764,10 @@ Emergency Stop for MR1K1 Input - 1299523168 + 1303967200 - Main.M24.nRawEncoderULINT + Main.M16.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -88658,10 +88777,10 @@ Emergency Stop for MR1K1 Input - 1299523200 + 1303967232 - Main.M24.nRawEncoderUINT + Main.M16.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -88671,10 +88790,10 @@ Emergency Stop for MR1K1 Input - 1299523264 + 1303967296 - Main.M24.nRawEncoderINT + Main.M16.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -88684,10 +88803,10 @@ Emergency Stop for MR1K1 Input - 1299523280 + 1303967312 - Main.M25.Axis.NcToPlc + Main.M17.Axis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -88696,10 +88815,10 @@ Emergency Stop for MR1K1 Input - 1299541120 + 1303985152 - Main.M25.bLimitForwardEnable + Main.M17.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -88709,10 +88828,10 @@ Emergency Stop for MR1K1 Input - 1299549056 + 1303993088 - Main.M25.bLimitBackwardEnable + Main.M17.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -88722,10 +88841,10 @@ Emergency Stop for MR1K1 Input - 1299549064 + 1303993096 - Main.M25.bHome + Main.M17.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -88735,10 +88854,10 @@ Emergency Stop for MR1K1 Input - 1299549072 + 1303993104 - Main.M25.bHardwareEnable + Main.M17.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -88758,10 +88877,10 @@ Emergency Stop for MR1K1 Input - 1299549088 + 1303993120 - Main.M25.nRawEncoderULINT + Main.M17.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -88771,10 +88890,10 @@ Emergency Stop for MR1K1 Input - 1299549120 + 1303993152 - Main.M25.nRawEncoderUINT + Main.M17.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -88784,10 +88903,10 @@ Emergency Stop for MR1K1 Input - 1299549184 + 1303993216 - Main.M25.nRawEncoderINT + Main.M17.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -88797,10 +88916,10 @@ Emergency Stop for MR1K1 Input - 1299549200 + 1303993232 - Main.fbMotionStageM25.fbDriveVirtual.MasterAxis.NcToPlc + Main.fbMotionStage_m17.fbDriveVirtual.MasterAxis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -88809,10 +88928,10 @@ Emergency Stop for MR1K1 Input - 1299568576 + 1304012608 - Main.M26.Axis.NcToPlc + Main.M18.Axis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -88821,10 +88940,10 @@ Emergency Stop for MR1K1 Input - 1299894464 + 1304338496 - Main.M26.bLimitForwardEnable + Main.M18.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -88834,10 +88953,10 @@ Emergency Stop for MR1K1 Input - 1299902400 + 1304346432 - Main.M26.bLimitBackwardEnable + Main.M18.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -88847,10 +88966,10 @@ Emergency Stop for MR1K1 Input - 1299902408 + 1304346440 - Main.M26.bHome + Main.M18.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -88860,10 +88979,10 @@ Emergency Stop for MR1K1 Input - 1299902416 + 1304346448 - Main.M26.bHardwareEnable + Main.M18.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -88883,10 +89002,10 @@ Emergency Stop for MR1K1 Input - 1299902432 + 1304346464 - Main.M26.nRawEncoderULINT + Main.M18.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -88896,10 +89015,10 @@ Emergency Stop for MR1K1 Input - 1299902464 + 1304346496 - Main.M26.nRawEncoderUINT + Main.M18.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -88909,10 +89028,10 @@ Emergency Stop for MR1K1 Input - 1299902528 + 1304346560 - Main.M26.nRawEncoderINT + Main.M18.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -88922,10 +89041,10 @@ Emergency Stop for MR1K1 Input - 1299902544 + 1304346576 - Main.fbMotionStageM26.fbDriveVirtual.MasterAxis.NcToPlc + Main.fbMotionStage_m18.fbDriveVirtual.MasterAxis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -88934,10 +89053,10 @@ Emergency Stop for MR1K1 Input - 1299921920 + 1304365952 - Main.M27.Axis.NcToPlc + Main.M19.Axis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -88946,10 +89065,10 @@ Emergency Stop for MR1K1 Input - 1300247808 + 1304691840 - Main.M27.bLimitForwardEnable + Main.M19.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -88959,10 +89078,10 @@ Emergency Stop for MR1K1 Input - 1300255744 + 1304699776 - Main.M27.bLimitBackwardEnable + Main.M19.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -88972,10 +89091,10 @@ Emergency Stop for MR1K1 Input - 1300255752 + 1304699784 - Main.M27.bHome + Main.M19.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -88985,10 +89104,10 @@ Emergency Stop for MR1K1 Input - 1300255760 + 1304699792 - Main.M27.bHardwareEnable + Main.M19.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -89008,135 +89127,10 @@ Emergency Stop for MR1K1 Input - 1300255776 + 1304699808 - Main.M27.nRawEncoderULINT - Raw encoder IO for ULINT (Biss-C) - 64 - ULINT - - - TcAddressType - Input - - - 1300255808 - - - Main.M27.nRawEncoderUINT - Raw encoder IO for UINT (Relative Encoders) - 16 - UINT - - - TcAddressType - Input - - - 1300255872 - - - Main.M27.nRawEncoderINT - Raw encoder IO for INT (LVDT) - 16 - INT - - - TcAddressType - Input - - - 1300255888 - - - Main.fbMotionStageM27.fbDriveVirtual.MasterAxis.NcToPlc - 2048 - NCTOPLC_AXIS_REF - - - TcAddressType - Input - - - 1300275264 - - - Main.M28.Axis.NcToPlc - 2048 - NCTOPLC_AXIS_REF - - - TcAddressType - Input - - - 1300601152 - - - Main.M28.bLimitForwardEnable - NC Forward Limit Switch: TRUE if ok to move - 8 - BOOL - - - TcAddressType - Input - - - 1300609088 - - - Main.M28.bLimitBackwardEnable - NC Backward Limit Switch: TRUE if ok to move - 8 - BOOL - - - TcAddressType - Input - - - 1300609096 - - - Main.M28.bHome - NO Home Switch: TRUE if at home - 8 - BOOL - - - TcAddressType - Input - - - 1300609104 - - - Main.M28.bHardwareEnable - NC STO Input: TRUE if ok to move - 8 - BOOL - - - pytmc - - pv: PLC:bHardwareEnable - io: i - field: ZNAM FALSE - field: ONAM TRUE - field: DESC TRUE if STO not hit - - - - TcAddressType - Input - - - 1300609120 - - - Main.M28.nRawEncoderULINT + Main.M19.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -89146,10 +89140,10 @@ Emergency Stop for MR1K1 Input - 1300609152 + 1304699840 - Main.M28.nRawEncoderUINT + Main.M19.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -89159,10 +89153,10 @@ Emergency Stop for MR1K1 Input - 1300609216 + 1304699904 - Main.M28.nRawEncoderINT + Main.M19.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -89172,22 +89166,10 @@ Emergency Stop for MR1K1 Input - 1300609232 + 1304699920 - Main.fbMotionStageM28.fbDriveVirtual.MasterAxis.NcToPlc - 2048 - NCTOPLC_AXIS_REF - - - TcAddressType - Input - - - 1300628608 - - - Main.M29.Axis.NcToPlc + Main.M20.Axis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -89196,10 +89178,10 @@ Emergency Stop for MR1K1 Input - 1300954496 + 1304717760 - Main.M29.bLimitForwardEnable + Main.M20.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -89209,10 +89191,10 @@ Emergency Stop for MR1K1 Input - 1300962432 + 1304725696 - Main.M29.bLimitBackwardEnable + Main.M20.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -89222,10 +89204,10 @@ Emergency Stop for MR1K1 Input - 1300962440 + 1304725704 - Main.M29.bHome + Main.M20.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -89235,10 +89217,10 @@ Emergency Stop for MR1K1 Input - 1300962448 + 1304725712 - Main.M29.bHardwareEnable + Main.M20.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -89258,10 +89240,10 @@ Emergency Stop for MR1K1 Input - 1300962464 + 1304725728 - Main.M29.nRawEncoderULINT + Main.M20.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -89271,10 +89253,10 @@ Emergency Stop for MR1K1 Input - 1300962496 + 1304725760 - Main.M29.nRawEncoderUINT + Main.M20.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -89284,10 +89266,10 @@ Emergency Stop for MR1K1 Input - 1300962560 + 1304725824 - Main.M29.nRawEncoderINT + Main.M20.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -89297,22 +89279,10 @@ Emergency Stop for MR1K1 Input - 1300962576 + 1304725840 - Main.fbMotionStageM29.fbDriveVirtual.MasterAxis.NcToPlc - 2048 - NCTOPLC_AXIS_REF - - - TcAddressType - Input - - - 1300981952 - - - Main.M30.Axis.NcToPlc + Main.M21.Axis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -89321,10 +89291,10 @@ Emergency Stop for MR1K1 Input - 1301307840 + 1304743680 - Main.M30.bLimitForwardEnable + Main.M21.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -89334,10 +89304,10 @@ Emergency Stop for MR1K1 Input - 1301315776 + 1304751616 - Main.M30.bLimitBackwardEnable + Main.M21.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -89347,10 +89317,10 @@ Emergency Stop for MR1K1 Input - 1301315784 + 1304751624 - Main.M30.bHome + Main.M21.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -89360,10 +89330,10 @@ Emergency Stop for MR1K1 Input - 1301315792 + 1304751632 - Main.M30.bHardwareEnable + Main.M21.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -89383,10 +89353,10 @@ Emergency Stop for MR1K1 Input - 1301315808 + 1304751648 - Main.M30.nRawEncoderULINT + Main.M21.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -89396,10 +89366,10 @@ Emergency Stop for MR1K1 Input - 1301315840 + 1304751680 - Main.M30.nRawEncoderUINT + Main.M21.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -89409,10 +89379,10 @@ Emergency Stop for MR1K1 Input - 1301315904 + 1304751744 - Main.M30.nRawEncoderINT + Main.M21.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -89422,22 +89392,10 @@ Emergency Stop for MR1K1 Input - 1301315920 - - - Main.fbMotionStageM30.fbDriveVirtual.MasterAxis.NcToPlc - 2048 - NCTOPLC_AXIS_REF - - - TcAddressType - Input - - - 1301335296 + 1304751760 - Main.M31.Axis.NcToPlc + Main.M22.Axis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -89446,10 +89404,10 @@ Emergency Stop for MR1K1 Input - 1301661184 + 1304769600 - Main.M31.bLimitForwardEnable + Main.M22.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -89459,10 +89417,10 @@ Emergency Stop for MR1K1 Input - 1301669120 + 1304777536 - Main.M31.bLimitBackwardEnable + Main.M22.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -89472,10 +89430,10 @@ Emergency Stop for MR1K1 Input - 1301669128 + 1304777544 - Main.M31.bHome + Main.M22.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -89485,10 +89443,10 @@ Emergency Stop for MR1K1 Input - 1301669136 + 1304777552 - Main.M31.bHardwareEnable + Main.M22.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -89508,10 +89466,10 @@ Emergency Stop for MR1K1 Input - 1301669152 + 1304777568 - Main.M31.nRawEncoderULINT + Main.M22.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -89521,10 +89479,10 @@ Emergency Stop for MR1K1 Input - 1301669184 + 1304777600 - Main.M31.nRawEncoderUINT + Main.M22.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -89534,10 +89492,10 @@ Emergency Stop for MR1K1 Input - 1301669248 + 1304777664 - Main.M31.nRawEncoderINT + Main.M22.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -89547,22 +89505,10 @@ Emergency Stop for MR1K1 Input - 1301669264 + 1304777680 - Main.fbMotionStageM31.fbDriveVirtual.MasterAxis.NcToPlc - 2048 - NCTOPLC_AXIS_REF - - - TcAddressType - Input - - - 1301688640 - - - Main.M32.Axis.NcToPlc + Main.M23.Axis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -89571,10 +89517,10 @@ Emergency Stop for MR1K1 Input - 1302014528 + 1304795520 - Main.M32.bLimitForwardEnable + Main.M23.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -89584,10 +89530,10 @@ Emergency Stop for MR1K1 Input - 1302022464 + 1304803456 - Main.M32.bLimitBackwardEnable + Main.M23.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -89597,10 +89543,10 @@ Emergency Stop for MR1K1 Input - 1302022472 + 1304803464 - Main.M32.bHome + Main.M23.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -89610,10 +89556,10 @@ Emergency Stop for MR1K1 Input - 1302022480 + 1304803472 - Main.M32.bHardwareEnable + Main.M23.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -89633,10 +89579,10 @@ Emergency Stop for MR1K1 Input - 1302022496 + 1304803488 - Main.M32.nRawEncoderULINT + Main.M23.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -89646,10 +89592,10 @@ Emergency Stop for MR1K1 Input - 1302022528 + 1304803520 - Main.M32.nRawEncoderUINT + Main.M23.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -89659,10 +89605,10 @@ Emergency Stop for MR1K1 Input - 1302022592 + 1304803584 - Main.M32.nRawEncoderINT + Main.M23.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -89672,22 +89618,10 @@ Emergency Stop for MR1K1 Input - 1302022608 + 1304803600 - Main.fbMotionStageM32.fbDriveVirtual.MasterAxis.NcToPlc - 2048 - NCTOPLC_AXIS_REF - - - TcAddressType - Input - - - 1302041984 - - - Main.M33.Axis.NcToPlc + Main.M24.Axis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -89696,10 +89630,10 @@ Emergency Stop for MR1K1 Input - 1302367872 + 1304821440 - Main.M33.bLimitForwardEnable + Main.M24.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -89709,10 +89643,10 @@ Emergency Stop for MR1K1 Input - 1302375808 + 1304829376 - Main.M33.bLimitBackwardEnable + Main.M24.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -89722,10 +89656,10 @@ Emergency Stop for MR1K1 Input - 1302375816 + 1304829384 - Main.M33.bHome + Main.M24.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -89735,10 +89669,10 @@ Emergency Stop for MR1K1 Input - 1302375824 + 1304829392 - Main.M33.bHardwareEnable + Main.M24.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -89758,10 +89692,10 @@ Emergency Stop for MR1K1 Input - 1302375840 + 1304829408 - Main.M33.nRawEncoderULINT + Main.M24.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -89771,10 +89705,10 @@ Emergency Stop for MR1K1 Input - 1302375872 + 1304829440 - Main.M33.nRawEncoderUINT + Main.M24.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -89784,10 +89718,10 @@ Emergency Stop for MR1K1 Input - 1302375936 + 1304829504 - Main.M33.nRawEncoderINT + Main.M24.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -89797,22 +89731,10 @@ Emergency Stop for MR1K1 Input - 1302375952 - - - Main.fbMotionStageM33.fbDriveVirtual.MasterAxis.NcToPlc - 2048 - NCTOPLC_AXIS_REF - - - TcAddressType - Input - - - 1302395328 + 1304829520 - Main.M34.Axis.NcToPlc + Main.M25.Axis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -89821,10 +89743,10 @@ Emergency Stop for MR1K1 Input - 1302721216 + 1304847360 - Main.M34.bLimitForwardEnable + Main.M25.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -89834,10 +89756,10 @@ Emergency Stop for MR1K1 Input - 1302729152 + 1304855296 - Main.M34.bLimitBackwardEnable + Main.M25.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -89847,10 +89769,10 @@ Emergency Stop for MR1K1 Input - 1302729160 + 1304855304 - Main.M34.bHome + Main.M25.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -89860,10 +89782,10 @@ Emergency Stop for MR1K1 Input - 1302729168 + 1304855312 - Main.M34.bHardwareEnable + Main.M25.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -89883,10 +89805,10 @@ Emergency Stop for MR1K1 Input - 1302729184 + 1304855328 - Main.M34.nRawEncoderULINT + Main.M25.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -89896,10 +89818,10 @@ Emergency Stop for MR1K1 Input - 1302729216 + 1304855360 - Main.M34.nRawEncoderUINT + Main.M25.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -89909,10 +89831,10 @@ Emergency Stop for MR1K1 Input - 1302729280 + 1304855424 - Main.M34.nRawEncoderINT + Main.M25.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -89922,10 +89844,10 @@ Emergency Stop for MR1K1 Input - 1302729296 + 1304855440 - Main.fbMotionStageM34.fbDriveVirtual.MasterAxis.NcToPlc + Main.fbMotionStageM25.fbDriveVirtual.MasterAxis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -89934,10 +89856,10 @@ Emergency Stop for MR1K1 Input - 1302748672 + 1304874816 - Main.M35.Axis.NcToPlc + Main.M26.Axis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -89946,10 +89868,10 @@ Emergency Stop for MR1K1 Input - 1303074560 + 1305200704 - Main.M35.bLimitForwardEnable + Main.M26.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -89959,10 +89881,10 @@ Emergency Stop for MR1K1 Input - 1303082496 + 1305208640 - Main.M35.bLimitBackwardEnable + Main.M26.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -89972,10 +89894,10 @@ Emergency Stop for MR1K1 Input - 1303082504 + 1305208648 - Main.M35.bHome + Main.M26.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -89985,10 +89907,10 @@ Emergency Stop for MR1K1 Input - 1303082512 + 1305208656 - Main.M35.bHardwareEnable + Main.M26.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -90008,10 +89930,10 @@ Emergency Stop for MR1K1 Input - 1303082528 + 1305208672 - Main.M35.nRawEncoderULINT + Main.M26.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -90021,10 +89943,10 @@ Emergency Stop for MR1K1 Input - 1303082560 + 1305208704 - Main.M35.nRawEncoderUINT + Main.M26.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -90034,10 +89956,10 @@ Emergency Stop for MR1K1 Input - 1303082624 + 1305208768 - Main.M35.nRawEncoderINT + Main.M26.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -90047,10 +89969,10 @@ Emergency Stop for MR1K1 Input - 1303082640 + 1305208784 - Main.fbMotionStageM35.fbDriveVirtual.MasterAxis.NcToPlc + Main.fbMotionStageM26.fbDriveVirtual.MasterAxis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -90059,10 +89981,10 @@ Emergency Stop for MR1K1 Input - 1303102016 + 1305228160 - Main.M36.Axis.NcToPlc + Main.M27.Axis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -90071,10 +89993,10 @@ Emergency Stop for MR1K1 Input - 1303427904 + 1305554048 - Main.M36.bLimitForwardEnable + Main.M27.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -90084,10 +90006,10 @@ Emergency Stop for MR1K1 Input - 1303435840 + 1305561984 - Main.M36.bLimitBackwardEnable + Main.M27.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -90097,10 +90019,10 @@ Emergency Stop for MR1K1 Input - 1303435848 + 1305561992 - Main.M36.bHome + Main.M27.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -90110,10 +90032,10 @@ Emergency Stop for MR1K1 Input - 1303435856 + 1305562000 - Main.M36.bHardwareEnable + Main.M27.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -90133,10 +90055,10 @@ Emergency Stop for MR1K1 Input - 1303435872 + 1305562016 - Main.M36.nRawEncoderULINT + Main.M27.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -90146,10 +90068,10 @@ Emergency Stop for MR1K1 Input - 1303435904 + 1305562048 - Main.M36.nRawEncoderUINT + Main.M27.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -90159,10 +90081,10 @@ Emergency Stop for MR1K1 Input - 1303435968 + 1305562112 - Main.M36.nRawEncoderINT + Main.M27.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -90172,10 +90094,10 @@ Emergency Stop for MR1K1 Input - 1303435984 + 1305562128 - Main.fbMotionStageM36.fbDriveVirtual.MasterAxis.NcToPlc + Main.fbMotionStageM27.fbDriveVirtual.MasterAxis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -90184,10 +90106,10 @@ Emergency Stop for MR1K1 Input - 1303455360 + 1305581504 - Main.M37.Axis.NcToPlc + Main.M28.Axis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -90196,10 +90118,10 @@ Emergency Stop for MR1K1 Input - 1303781248 + 1305907392 - Main.M37.bLimitForwardEnable + Main.M28.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -90209,10 +90131,10 @@ Emergency Stop for MR1K1 Input - 1303789184 + 1305915328 - Main.M37.bLimitBackwardEnable + Main.M28.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -90222,10 +90144,10 @@ Emergency Stop for MR1K1 Input - 1303789192 + 1305915336 - Main.M37.bHome + Main.M28.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -90235,10 +90157,10 @@ Emergency Stop for MR1K1 Input - 1303789200 + 1305915344 - Main.M37.bHardwareEnable + Main.M28.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -90258,10 +90180,10 @@ Emergency Stop for MR1K1 Input - 1303789216 + 1305915360 - Main.M37.nRawEncoderULINT + Main.M28.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -90271,10 +90193,10 @@ Emergency Stop for MR1K1 Input - 1303789248 + 1305915392 - Main.M37.nRawEncoderUINT + Main.M28.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -90284,10 +90206,10 @@ Emergency Stop for MR1K1 Input - 1303789312 + 1305915456 - Main.M37.nRawEncoderINT + Main.M28.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -90297,10 +90219,10 @@ Emergency Stop for MR1K1 Input - 1303789328 + 1305915472 - Main.fbMotionStageM37.fbDriveVirtual.MasterAxis.NcToPlc + Main.fbMotionStageM28.fbDriveVirtual.MasterAxis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -90309,40 +90231,10 @@ Emergency Stop for MR1K1 Input - 1303808704 + 1305934848 - Main.sio_current - 16 - UINT - - - TcAddressType - Input - - - TcVarGlobal - - - 1304133504 - - - Main.sio_load - 16 - UINT - - - TcAddressType - Input - - - TcVarGlobal - - - 1304133520 - - - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].Axis.NcToPlc + Main.M29.Axis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -90351,10 +90243,10 @@ Emergency Stop for MR1K1 Input - 1317361024 + 1306260736 - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].bLimitForwardEnable + Main.M29.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -90364,10 +90256,10 @@ Emergency Stop for MR1K1 Input - 1317368960 + 1306268672 - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].bLimitBackwardEnable + Main.M29.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -90377,10 +90269,10 @@ Emergency Stop for MR1K1 Input - 1317368968 + 1306268680 - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].bHome + Main.M29.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -90390,10 +90282,10 @@ Emergency Stop for MR1K1 Input - 1317368976 + 1306268688 - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].bHardwareEnable + Main.M29.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -90413,10 +90305,10 @@ Emergency Stop for MR1K1 Input - 1317368992 + 1306268704 - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].nRawEncoderULINT + Main.M29.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -90426,10 +90318,10 @@ Emergency Stop for MR1K1 Input - 1317369024 + 1306268736 - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].nRawEncoderUINT + Main.M29.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -90439,10 +90331,10 @@ Emergency Stop for MR1K1 Input - 1317369088 + 1306268800 - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].nRawEncoderINT + Main.M29.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -90452,10 +90344,10 @@ Emergency Stop for MR1K1 Input - 1317369104 + 1306268816 - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].Axis.NcToPlc + Main.fbMotionStageM29.fbDriveVirtual.MasterAxis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -90464,10 +90356,22 @@ Emergency Stop for MR1K1 Input - 1317386944 + 1306288192 - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].bLimitForwardEnable + Main.M30.Axis.NcToPlc + 2048 + NCTOPLC_AXIS_REF + + + TcAddressType + Input + + + 1306614080 + + + Main.M30.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -90477,10 +90381,10 @@ Emergency Stop for MR1K1 Input - 1317394880 + 1306622016 - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].bLimitBackwardEnable + Main.M30.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -90490,10 +90394,10 @@ Emergency Stop for MR1K1 Input - 1317394888 + 1306622024 - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].bHome + Main.M30.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -90503,10 +90407,10 @@ Emergency Stop for MR1K1 Input - 1317394896 + 1306622032 - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].bHardwareEnable + Main.M30.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -90526,10 +90430,10 @@ Emergency Stop for MR1K1 Input - 1317394912 + 1306622048 - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].nRawEncoderULINT + Main.M30.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -90539,10 +90443,10 @@ Emergency Stop for MR1K1 Input - 1317394944 + 1306622080 - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].nRawEncoderUINT + Main.M30.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -90552,10 +90456,10 @@ Emergency Stop for MR1K1 Input - 1317395008 + 1306622144 - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].nRawEncoderINT + Main.M30.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -90565,10 +90469,10 @@ Emergency Stop for MR1K1 Input - 1317395024 + 1306622160 - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].Axis.NcToPlc + Main.fbMotionStageM30.fbDriveVirtual.MasterAxis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -90577,10 +90481,22 @@ Emergency Stop for MR1K1 Input - 1317412864 + 1306641536 - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].bLimitForwardEnable + Main.M31.Axis.NcToPlc + 2048 + NCTOPLC_AXIS_REF + + + TcAddressType + Input + + + 1306967424 + + + Main.M31.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -90590,10 +90506,10 @@ Emergency Stop for MR1K1 Input - 1317420800 + 1306975360 - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].bLimitBackwardEnable + Main.M31.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -90603,10 +90519,10 @@ Emergency Stop for MR1K1 Input - 1317420808 + 1306975368 - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].bHome + Main.M31.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -90616,10 +90532,10 @@ Emergency Stop for MR1K1 Input - 1317420816 + 1306975376 - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].bHardwareEnable + Main.M31.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -90639,10 +90555,10 @@ Emergency Stop for MR1K1 Input - 1317420832 + 1306975392 - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].nRawEncoderULINT + Main.M31.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -90652,10 +90568,10 @@ Emergency Stop for MR1K1 Input - 1317420864 + 1306975424 - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].nRawEncoderUINT + Main.M31.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -90665,10 +90581,10 @@ Emergency Stop for MR1K1 Input - 1317420928 + 1306975488 - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].nRawEncoderINT + Main.M31.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -90678,10 +90594,10 @@ Emergency Stop for MR1K1 Input - 1317420944 + 1306975504 - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].Axis.NcToPlc + Main.fbMotionStageM31.fbDriveVirtual.MasterAxis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -90690,10 +90606,22 @@ Emergency Stop for MR1K1 Input - 1319259520 + 1306994880 - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].bLimitForwardEnable + Main.M32.Axis.NcToPlc + 2048 + NCTOPLC_AXIS_REF + + + TcAddressType + Input + + + 1307320768 + + + Main.M32.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -90703,10 +90631,10 @@ Emergency Stop for MR1K1 Input - 1319267456 + 1307328704 - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].bLimitBackwardEnable + Main.M32.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -90716,10 +90644,10 @@ Emergency Stop for MR1K1 Input - 1319267464 + 1307328712 - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].bHome + Main.M32.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -90729,10 +90657,10 @@ Emergency Stop for MR1K1 Input - 1319267472 + 1307328720 - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].bHardwareEnable + Main.M32.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -90752,10 +90680,10 @@ Emergency Stop for MR1K1 Input - 1319267488 + 1307328736 - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].nRawEncoderULINT + Main.M32.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -90765,10 +90693,10 @@ Emergency Stop for MR1K1 Input - 1319267520 + 1307328768 - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].nRawEncoderUINT + Main.M32.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -90778,10 +90706,10 @@ Emergency Stop for MR1K1 Input - 1319267584 + 1307328832 - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].nRawEncoderINT + Main.M32.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -90791,10 +90719,10 @@ Emergency Stop for MR1K1 Input - 1319267600 + 1307328848 - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].Axis.NcToPlc + Main.fbMotionStageM32.fbDriveVirtual.MasterAxis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -90803,10 +90731,22 @@ Emergency Stop for MR1K1 Input - 1319285440 + 1307348224 - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].bLimitForwardEnable + Main.M33.Axis.NcToPlc + 2048 + NCTOPLC_AXIS_REF + + + TcAddressType + Input + + + 1307674112 + + + Main.M33.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -90816,10 +90756,10 @@ Emergency Stop for MR1K1 Input - 1319293376 + 1307682048 - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].bLimitBackwardEnable + Main.M33.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -90829,10 +90769,10 @@ Emergency Stop for MR1K1 Input - 1319293384 + 1307682056 - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].bHome + Main.M33.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -90842,10 +90782,10 @@ Emergency Stop for MR1K1 Input - 1319293392 + 1307682064 - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].bHardwareEnable + Main.M33.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -90865,10 +90805,10 @@ Emergency Stop for MR1K1 Input - 1319293408 + 1307682080 - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].nRawEncoderULINT + Main.M33.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -90878,10 +90818,10 @@ Emergency Stop for MR1K1 Input - 1319293440 + 1307682112 - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].nRawEncoderUINT + Main.M33.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -90891,10 +90831,10 @@ Emergency Stop for MR1K1 Input - 1319293504 + 1307682176 - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].nRawEncoderINT + Main.M33.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -90904,10 +90844,10 @@ Emergency Stop for MR1K1 Input - 1319293520 + 1307682192 - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].Axis.NcToPlc + Main.fbMotionStageM33.fbDriveVirtual.MasterAxis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -90916,10 +90856,22 @@ Emergency Stop for MR1K1 Input - 1319311360 + 1307701568 - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].bLimitForwardEnable + Main.M34.Axis.NcToPlc + 2048 + NCTOPLC_AXIS_REF + + + TcAddressType + Input + + + 1308027456 + + + Main.M34.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -90929,10 +90881,10 @@ Emergency Stop for MR1K1 Input - 1319319296 + 1308035392 - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].bLimitBackwardEnable + Main.M34.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -90942,10 +90894,10 @@ Emergency Stop for MR1K1 Input - 1319319304 + 1308035400 - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].bHome + Main.M34.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -90955,10 +90907,10 @@ Emergency Stop for MR1K1 Input - 1319319312 + 1308035408 - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].bHardwareEnable + Main.M34.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -90978,10 +90930,10 @@ Emergency Stop for MR1K1 Input - 1319319328 + 1308035424 - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].nRawEncoderULINT + Main.M34.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -90991,10 +90943,10 @@ Emergency Stop for MR1K1 Input - 1319319360 + 1308035456 - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].nRawEncoderUINT + Main.M34.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -91004,10 +90956,10 @@ Emergency Stop for MR1K1 Input - 1319319424 + 1308035520 - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].nRawEncoderINT + Main.M34.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -91017,10 +90969,10 @@ Emergency Stop for MR1K1 Input - 1319319440 + 1308035536 - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].Axis.NcToPlc + Main.fbMotionStageM34.fbDriveVirtual.MasterAxis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -91029,10 +90981,22 @@ Emergency Stop for MR1K1 Input - 1320800832 + 1308054912 - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].bLimitForwardEnable + Main.M35.Axis.NcToPlc + 2048 + NCTOPLC_AXIS_REF + + + TcAddressType + Input + + + 1308380800 + + + Main.M35.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -91042,10 +91006,10 @@ Emergency Stop for MR1K1 Input - 1320808768 + 1308388736 - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].bLimitBackwardEnable + Main.M35.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -91055,10 +91019,10 @@ Emergency Stop for MR1K1 Input - 1320808776 + 1308388744 - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].bHome + Main.M35.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -91068,10 +91032,10 @@ Emergency Stop for MR1K1 Input - 1320808784 + 1308388752 - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].bHardwareEnable + Main.M35.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -91091,10 +91055,10 @@ Emergency Stop for MR1K1 Input - 1320808800 + 1308388768 - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].nRawEncoderULINT + Main.M35.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -91104,10 +91068,10 @@ Emergency Stop for MR1K1 Input - 1320808832 + 1308388800 - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].nRawEncoderUINT + Main.M35.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -91117,10 +91081,10 @@ Emergency Stop for MR1K1 Input - 1320808896 + 1308388864 - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].nRawEncoderINT + Main.M35.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -91130,10 +91094,10 @@ Emergency Stop for MR1K1 Input - 1320808912 + 1308388880 - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].Axis.NcToPlc + Main.fbMotionStageM35.fbDriveVirtual.MasterAxis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -91142,10 +91106,22 @@ Emergency Stop for MR1K1 Input - 1320826752 + 1308408256 - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].bLimitForwardEnable + Main.M36.Axis.NcToPlc + 2048 + NCTOPLC_AXIS_REF + + + TcAddressType + Input + + + 1308734144 + + + Main.M36.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -91155,10 +91131,10 @@ Emergency Stop for MR1K1 Input - 1320834688 + 1308742080 - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].bLimitBackwardEnable + Main.M36.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -91168,10 +91144,10 @@ Emergency Stop for MR1K1 Input - 1320834696 + 1308742088 - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].bHome + Main.M36.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -91181,10 +91157,10 @@ Emergency Stop for MR1K1 Input - 1320834704 + 1308742096 - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].bHardwareEnable + Main.M36.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -91204,10 +91180,10 @@ Emergency Stop for MR1K1 Input - 1320834720 + 1308742112 - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].nRawEncoderULINT + Main.M36.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -91217,10 +91193,10 @@ Emergency Stop for MR1K1 Input - 1320834752 + 1308742144 - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].nRawEncoderUINT + Main.M36.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -91230,10 +91206,10 @@ Emergency Stop for MR1K1 Input - 1320834816 + 1308742208 - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].nRawEncoderINT + Main.M36.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -91243,10 +91219,10 @@ Emergency Stop for MR1K1 Input - 1320834832 + 1308742224 - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].Axis.NcToPlc + Main.fbMotionStageM36.fbDriveVirtual.MasterAxis.NcToPlc 2048 NCTOPLC_AXIS_REF @@ -91255,10 +91231,22 @@ Emergency Stop for MR1K1 Input - 1320852672 + 1308761600 - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].bLimitForwardEnable + Main.M37.Axis.NcToPlc + 2048 + NCTOPLC_AXIS_REF + + + TcAddressType + Input + + + 1309087488 + + + Main.M37.bLimitForwardEnable NC Forward Limit Switch: TRUE if ok to move 8 BOOL @@ -91268,10 +91256,10 @@ Emergency Stop for MR1K1 Input - 1320860608 + 1309095424 - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].bLimitBackwardEnable + Main.M37.bLimitBackwardEnable NC Backward Limit Switch: TRUE if ok to move 8 BOOL @@ -91281,10 +91269,10 @@ Emergency Stop for MR1K1 Input - 1320860616 + 1309095432 - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].bHome + Main.M37.bHome NO Home Switch: TRUE if at home 8 BOOL @@ -91294,10 +91282,10 @@ Emergency Stop for MR1K1 Input - 1320860624 + 1309095440 - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].bHardwareEnable + Main.M37.bHardwareEnable NC STO Input: TRUE if ok to move 8 BOOL @@ -91317,10 +91305,10 @@ Emergency Stop for MR1K1 Input - 1320860640 + 1309095456 - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].nRawEncoderULINT + Main.M37.nRawEncoderULINT Raw encoder IO for ULINT (Biss-C) 64 ULINT @@ -91330,10 +91318,10 @@ Emergency Stop for MR1K1 Input - 1320860672 + 1309095488 - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].nRawEncoderUINT + Main.M37.nRawEncoderUINT Raw encoder IO for UINT (Relative Encoders) 16 UINT @@ -91343,10 +91331,10 @@ Emergency Stop for MR1K1 Input - 1320860736 + 1309095552 - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].nRawEncoderINT + Main.M37.nRawEncoderINT Raw encoder IO for INT (LVDT) 16 INT @@ -91356,28 +91344,28 @@ Emergency Stop for MR1K1 Input - 1320860752 + 1309095568 - - - 65 - PlcTask Outputs - 4 - 165216256 - PRG_MR1K1_BEND.fbM1K1PitchControl.fbMotionStage.fbDriveVirtual.MasterAxis.PlcToNc - 1024 - PLCTONC_AXIS_REF + Main.fbMotionStageM37.fbDriveVirtual.MasterAxis.NcToPlc + 2048 + NCTOPLC_AXIS_REF TcAddressType - Output + Input - 1274318528 + 1309114944 + + + 65 + PlcTask Outputs + 4 + 164888576 - PRG_MR1K1_BEND.fbMotionStage_m16.fbDriveVirtual.MasterAxis.PlcToNc + PRG_MR1K1_BEND.fbM1K1PitchControl.fbMotionStage.fbDriveVirtual.MasterAxis.PlcToNc 1024 PLCTONC_AXIS_REF @@ -91386,7 +91374,7 @@ Emergency Stop for MR1K1 Output - 1274653504 + 1274411840 PRG_MR1K1_BEND.bLEDPower01 @@ -91412,7 +91400,7 @@ Emergency Stop for MR1K1 Output - 1274979616 + 1274745232 PRG_MR1K1_BEND.bLEDPower02 @@ -91437,7 +91425,19 @@ Emergency Stop for MR1K1 Output - 1274979624 + 1274745240 + + + PRG_MR1K1_BEND.fbMotionStage_m16.fbDriveVirtual.MasterAxis.PlcToNc + 1024 + PLCTONC_AXIS_REF + + + TcAddressType + Output + + + 1274746880 PRG_MR1K1_BEND.bLEDPower03 @@ -91462,7 +91462,7 @@ Emergency Stop for MR1K1 Output - 1274979632 + 1275072992 PRG_MR1K1_BEND.bLEDPower04 @@ -91487,7 +91487,7 @@ Emergency Stop for MR1K1 Output - 1274979640 + 1275073000 PRG_MR1K1_BEND.nMR1K1_Y_ENC_PMPS @@ -91504,7 +91504,82 @@ Emergency Stop for MR1K1 Output - 1274984768 + 1275078144 + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].Axis.PlcToNc + 1024 + PLCTONC_AXIS_REF + + + TcAddressType + Output + + + 1276379520 + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].bBrakeRelease + NC Brake Output: TRUE to release brake + 8 + BOOL + + + TcAddressType + Output + + + 1276388504 + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].Axis.PlcToNc + 1024 + PLCTONC_AXIS_REF + + + TcAddressType + Output + + + 1276405440 + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].bBrakeRelease + NC Brake Output: TRUE to release brake + 8 + BOOL + + + TcAddressType + Output + + + 1276414424 + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].Axis.PlcToNc + 1024 + PLCTONC_AXIS_REF + + + TcAddressType + Output + + + 1276431360 + + + PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].bBrakeRelease + NC Brake Output: TRUE to release brake + 8 + BOOL + + + TcAddressType + Output + + + 1276440344 PRG_MR1K2_SWITCH.fbM1K2PitchControl.fbMotionStage.fbDriveVirtual.MasterAxis.PlcToNc @@ -91516,7 +91591,7 @@ Emergency Stop for MR1K1 Output - 1282837504 + 1284766912 PRG_MR1K2_SWITCH.fbMotionStage_m5.fbDriveVirtual.MasterAxis.PlcToNc @@ -91528,7 +91603,82 @@ Emergency Stop for MR1K1 Output - 1283172544 + 1285101888 + + + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].Axis.PlcToNc + 1024 + PLCTONC_AXIS_REF + + + TcAddressType + Output + + + 1286734400 + + + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].bBrakeRelease + NC Brake Output: TRUE to release brake + 8 + BOOL + + + TcAddressType + Output + + + 1286743384 + + + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].Axis.PlcToNc + 1024 + PLCTONC_AXIS_REF + + + TcAddressType + Output + + + 1286760320 + + + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].bBrakeRelease + NC Brake Output: TRUE to release brake + 8 + BOOL + + + TcAddressType + Output + + + 1286769304 + + + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].Axis.PlcToNc + 1024 + PLCTONC_AXIS_REF + + + TcAddressType + Output + + + 1286786240 + + + PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].bBrakeRelease + NC Brake Output: TRUE to release brake + 8 + BOOL + + + TcAddressType + Output + + + 1286795224 PRG_SP1K1_MONO.bLEDPower01 @@ -91553,7 +91703,7 @@ Emergency Stop for MR1K1 Output - 1283498720 + 1287123664 PRG_SP1K1_MONO.bLEDPower02 @@ -91578,7 +91728,7 @@ Emergency Stop for MR1K1 Output - 1283498728 + 1287123672 PRG_SP1K1_MONO.bLEDPower03 @@ -91603,7 +91753,7 @@ Emergency Stop for MR1K1 Output - 1283498736 + 1287123680 PRG_SL1K2_EXIT.bFanOn @@ -91627,7 +91777,7 @@ Emergency Stop for MR1K1 Output - 1283498744 + 1287123688 PRG_SP1K1_MONO.fbMotionStage_m_pi.fbDriveVirtual.MasterAxis.PlcToNc @@ -91639,7 +91789,7 @@ Emergency Stop for MR1K1 Output - 1283507520 + 1287125312 PRG_SP1K1_MONO.fbMotionStage_g_pi.fbDriveVirtual.MasterAxis.PlcToNc @@ -91651,7 +91801,7 @@ Emergency Stop for MR1K1 Output - 1283834944 + 1287452736 PRG_SP1K1_MONO.fbMotionStage_m_h.fbDriveVirtual.MasterAxis.PlcToNc @@ -91663,7 +91813,7 @@ Emergency Stop for MR1K1 Output - 1284162368 + 1287780160 PRG_SP1K1_MONO.fbMotionStage_g_h.fbDriveVirtual.MasterAxis.PlcToNc @@ -91675,7 +91825,7 @@ Emergency Stop for MR1K1 Output - 1284489792 + 1288107584 PRG_SP1K1_MONO.fbMotionStage_s_r.fbDriveVirtual.MasterAxis.PlcToNc @@ -91687,7 +91837,7 @@ Emergency Stop for MR1K1 Output - 1284817216 + 1288435008 PRG_SP1K1_MONO.fbMotionStage_s_io.fbDriveVirtual.MasterAxis.PlcToNc @@ -91699,10 +91849,10 @@ Emergency Stop for MR1K1 Output - 1285144640 + 1288762432 - PRG_SL1K2_EXIT.fbPitch.fbDriveVirtual.MasterAxis.PlcToNc + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].Axis.PlcToNc 1024 PLCTONC_AXIS_REF @@ -91711,22 +91861,23 @@ Emergency Stop for MR1K1 Output - 1285537088 + 1290422656 - PRG_SL1K2_EXIT.fbRoll.fbDriveVirtual.MasterAxis.PlcToNc - 1024 - PLCTONC_AXIS_REF + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].bBrakeRelease + NC Brake Output: TRUE to release brake + 8 + BOOL TcAddressType Output - 1285864512 + 1290431640 - PRG_SL1K2_EXIT.fbVertical.fbDriveVirtual.MasterAxis.PlcToNc + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].Axis.PlcToNc 1024 PLCTONC_AXIS_REF @@ -91735,22 +91886,23 @@ Emergency Stop for MR1K1 Output - 1286191936 + 1290448576 - PRG_SL1K2_EXIT.fbGap.fbDriveVirtual.MasterAxis.PlcToNc - 1024 - PLCTONC_AXIS_REF + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].bBrakeRelease + NC Brake Output: TRUE to release brake + 8 + BOOL TcAddressType Output - 1286519360 + 1290457560 - PRG_SL1K2_EXIT.fbYag.fbDriveVirtual.MasterAxis.PlcToNc + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].Axis.PlcToNc 1024 PLCTONC_AXIS_REF @@ -91759,7 +91911,20 @@ Emergency Stop for MR1K1 Output - 1286846784 + 1290474496 + + + PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].bBrakeRelease + NC Brake Output: TRUE to release brake + 8 + BOOL + + + TcAddressType + Output + + + 1290483480 PRG_SL1K2_EXIT.bLEDPower @@ -91784,7 +91949,67 @@ Emergency Stop for MR1K1 Output - 1287868352 + 1290664528 + + + PRG_SL1K2_EXIT.fbPitch.fbDriveVirtual.MasterAxis.PlcToNc + 1024 + PLCTONC_AXIS_REF + + + TcAddressType + Output + + + 1290843328 + + + PRG_SL1K2_EXIT.fbRoll.fbDriveVirtual.MasterAxis.PlcToNc + 1024 + PLCTONC_AXIS_REF + + + TcAddressType + Output + + + 1291170752 + + + PRG_SL1K2_EXIT.fbVertical.fbDriveVirtual.MasterAxis.PlcToNc + 1024 + PLCTONC_AXIS_REF + + + TcAddressType + Output + + + 1291498176 + + + PRG_SL1K2_EXIT.fbGap.fbDriveVirtual.MasterAxis.PlcToNc + 1024 + PLCTONC_AXIS_REF + + + TcAddressType + Output + + + 1291825600 + + + PRG_SL1K2_EXIT.fbYag.fbDriveVirtual.MasterAxis.PlcToNc + 1024 + PLCTONC_AXIS_REF + + + TcAddressType + Output + + + 1292153024 PRG_SL1K2_EXIT.fbGige.iIlluminatorINT @@ -91796,7 +92021,7 @@ Emergency Stop for MR1K1 Output - 1287868480 + 1293174656 PRG_SL1K2_EXIT.fbGige.bGigePower @@ -91816,7 +92041,7 @@ Emergency Stop for MR1K1 Output - 1287868496 + 1293174672 PRG_SL1K2_EXIT.fbGige.fbSetIllPercent.iRaw @@ -91829,7 +92054,7 @@ Emergency Stop for MR1K1 Output - 1287869568 + 1293175744 PRG_ST1K1_ZOS.fbZOS.fbDriveVirtual.MasterAxis.PlcToNc @@ -91841,7 +92066,7 @@ Emergency Stop for MR1K1 Output - 1287872192 + 1293178368 PRG_2_PMPS_POST.fbArbiterIO.q_stRequestedBP @@ -91857,7 +92082,7 @@ Emergency Stop for MR1K1 Output - 1288201952 + 1293508128 GVL_PMPS.fbFastFaultOutput1.q_xFastFaultOut @@ -91877,7 +92102,7 @@ Emergency Stop for MR1K1 Output - 1294580328 + 1299886504 GVL_PMPS.fbFastFaultOutput2.q_xFastFaultOut @@ -91897,7 +92122,7 @@ Emergency Stop for MR1K1 Output - 1295104680 + 1300410856 Main.M1.Axis.PlcToNc @@ -91909,7 +92134,7 @@ Emergency Stop for MR1K1 Output - 1295643776 + 1300950016 Main.M1.bBrakeRelease @@ -91922,7 +92147,7 @@ Emergency Stop for MR1K1 Output - 1295652760 + 1300959000 Main.fbMotionStage_m1.fbDriveVirtual.MasterAxis.PlcToNc @@ -91934,7 +92159,7 @@ Emergency Stop for MR1K1 Output - 1295671232 + 1300977472 Main.M2.Axis.PlcToNc @@ -91946,7 +92171,7 @@ Emergency Stop for MR1K1 Output - 1295997120 + 1301303360 Main.M2.bBrakeRelease @@ -91959,7 +92184,7 @@ Emergency Stop for MR1K1 Output - 1296006104 + 1301312344 Main.fbMotionStage_m2.fbDriveVirtual.MasterAxis.PlcToNc @@ -91971,7 +92196,7 @@ Emergency Stop for MR1K1 Output - 1296024576 + 1301330816 Main.M3.Axis.PlcToNc @@ -91983,7 +92208,7 @@ Emergency Stop for MR1K1 Output - 1296350464 + 1301656704 Main.M3.bBrakeRelease @@ -91996,7 +92221,7 @@ Emergency Stop for MR1K1 Output - 1296359448 + 1301665688 Main.fbMotionStage_m3.fbDriveVirtual.MasterAxis.PlcToNc @@ -92008,7 +92233,7 @@ Emergency Stop for MR1K1 Output - 1296377920 + 1301684160 Main.M4.Axis.PlcToNc @@ -92020,7 +92245,7 @@ Emergency Stop for MR1K1 Output - 1296703808 + 1302010048 Main.M4.bBrakeRelease @@ -92033,7 +92258,7 @@ Emergency Stop for MR1K1 Output - 1296712792 + 1302019032 Main.fbMotionStage_m4.fbDriveVirtual.MasterAxis.PlcToNc @@ -92045,7 +92270,7 @@ Emergency Stop for MR1K1 Output - 1296731264 + 1302037504 Main.M5.Axis.PlcToNc @@ -92057,7 +92282,7 @@ Emergency Stop for MR1K1 Output - 1297057152 + 1302363392 Main.M5.bBrakeRelease @@ -92070,7 +92295,7 @@ Emergency Stop for MR1K1 Output - 1297066136 + 1302372376 Main.M6.Axis.PlcToNc @@ -92082,7 +92307,7 @@ Emergency Stop for MR1K1 Output - 1297083072 + 1302389312 Main.M6.bBrakeRelease @@ -92095,7 +92320,7 @@ Emergency Stop for MR1K1 Output - 1297092056 + 1302398296 Main.M7.Axis.PlcToNc @@ -92107,7 +92332,7 @@ Emergency Stop for MR1K1 Output - 1297108992 + 1302415232 Main.M7.bBrakeRelease @@ -92120,7 +92345,7 @@ Emergency Stop for MR1K1 Output - 1297117976 + 1302424216 Main.M8.Axis.PlcToNc @@ -92132,7 +92357,7 @@ Emergency Stop for MR1K1 Output - 1297134912 + 1302441152 Main.M8.bBrakeRelease @@ -92145,7 +92370,7 @@ Emergency Stop for MR1K1 Output - 1297143896 + 1302450136 Main.M9.Axis.PlcToNc @@ -92157,7 +92382,7 @@ Emergency Stop for MR1K1 Output - 1297160832 + 1302467072 Main.M9.bBrakeRelease @@ -92170,7 +92395,7 @@ Emergency Stop for MR1K1 Output - 1297169816 + 1302476056 Main.M10.Axis.PlcToNc @@ -92182,7 +92407,7 @@ Emergency Stop for MR1K1 Output - 1297186752 + 1302492992 Main.M10.bBrakeRelease @@ -92195,7 +92420,7 @@ Emergency Stop for MR1K1 Output - 1297195736 + 1302501976 Main.M11.Axis.PlcToNc @@ -92207,7 +92432,7 @@ Emergency Stop for MR1K1 Output - 1297212672 + 1302518912 Main.M11.bBrakeRelease @@ -92220,7 +92445,7 @@ Emergency Stop for MR1K1 Output - 1297221656 + 1302527896 Main.M12.Axis.PlcToNc @@ -92232,7 +92457,7 @@ Emergency Stop for MR1K1 Output - 1297238592 + 1302544832 Main.M12.bBrakeRelease @@ -92245,7 +92470,7 @@ Emergency Stop for MR1K1 Output - 1297247576 + 1302553816 Main.fbMotionStage_m12.fbDriveVirtual.MasterAxis.PlcToNc @@ -92257,7 +92482,7 @@ Emergency Stop for MR1K1 Output - 1297266048 + 1302572288 Main.M13.Axis.PlcToNc @@ -92269,7 +92494,7 @@ Emergency Stop for MR1K1 Output - 1297591936 + 1302898176 Main.M13.bBrakeRelease @@ -92282,7 +92507,7 @@ Emergency Stop for MR1K1 Output - 1297600920 + 1302907160 Main.fbMotionStage_m13.fbDriveVirtual.MasterAxis.PlcToNc @@ -92294,7 +92519,7 @@ Emergency Stop for MR1K1 Output - 1297619392 + 1302925632 Main.M14.Axis.PlcToNc @@ -92306,7 +92531,7 @@ Emergency Stop for MR1K1 Output - 1297945280 + 1303251520 Main.M14.bBrakeRelease @@ -92319,7 +92544,7 @@ Emergency Stop for MR1K1 Output - 1297954264 + 1303260504 Main.fbMotionStage_m14.fbDriveVirtual.MasterAxis.PlcToNc @@ -92331,7 +92556,7 @@ Emergency Stop for MR1K1 Output - 1297972736 + 1303278976 Main.M15.Axis.PlcToNc @@ -92343,7 +92568,7 @@ Emergency Stop for MR1K1 Output - 1298298624 + 1303604864 Main.M15.bBrakeRelease @@ -92356,7 +92581,7 @@ Emergency Stop for MR1K1 Output - 1298307608 + 1303613848 Main.fbMotionStage_m15.fbDriveVirtual.MasterAxis.PlcToNc @@ -92368,7 +92593,7 @@ Emergency Stop for MR1K1 Output - 1298326080 + 1303632320 Main.M16.Axis.PlcToNc @@ -92380,7 +92605,7 @@ Emergency Stop for MR1K1 Output - 1298651968 + 1303958208 Main.M16.bBrakeRelease @@ -92393,7 +92618,7 @@ Emergency Stop for MR1K1 Output - 1298660952 + 1303967192 Main.M17.Axis.PlcToNc @@ -92405,7 +92630,7 @@ Emergency Stop for MR1K1 Output - 1298677888 + 1303984128 Main.M17.bBrakeRelease @@ -92418,7 +92643,7 @@ Emergency Stop for MR1K1 Output - 1298686872 + 1303993112 Main.fbMotionStage_m17.fbDriveVirtual.MasterAxis.PlcToNc @@ -92430,7 +92655,7 @@ Emergency Stop for MR1K1 Output - 1298705344 + 1304011584 Main.M18.Axis.PlcToNc @@ -92442,7 +92667,7 @@ Emergency Stop for MR1K1 Output - 1299031232 + 1304337472 Main.M18.bBrakeRelease @@ -92455,7 +92680,7 @@ Emergency Stop for MR1K1 Output - 1299040216 + 1304346456 Main.fbMotionStage_m18.fbDriveVirtual.MasterAxis.PlcToNc @@ -92467,7 +92692,7 @@ Emergency Stop for MR1K1 Output - 1299058688 + 1304364928 Main.M19.Axis.PlcToNc @@ -92479,7 +92704,7 @@ Emergency Stop for MR1K1 Output - 1299384576 + 1304690816 Main.M19.bBrakeRelease @@ -92492,7 +92717,7 @@ Emergency Stop for MR1K1 Output - 1299393560 + 1304699800 Main.M20.Axis.PlcToNc @@ -92504,7 +92729,7 @@ Emergency Stop for MR1K1 Output - 1299410496 + 1304716736 Main.M20.bBrakeRelease @@ -92517,7 +92742,7 @@ Emergency Stop for MR1K1 Output - 1299419480 + 1304725720 Main.M21.Axis.PlcToNc @@ -92529,7 +92754,7 @@ Emergency Stop for MR1K1 Output - 1299436416 + 1304742656 Main.M21.bBrakeRelease @@ -92542,7 +92767,7 @@ Emergency Stop for MR1K1 Output - 1299445400 + 1304751640 Main.M22.Axis.PlcToNc @@ -92554,7 +92779,7 @@ Emergency Stop for MR1K1 Output - 1299462336 + 1304768576 Main.M22.bBrakeRelease @@ -92567,7 +92792,7 @@ Emergency Stop for MR1K1 Output - 1299471320 + 1304777560 Main.M23.Axis.PlcToNc @@ -92579,7 +92804,7 @@ Emergency Stop for MR1K1 Output - 1299488256 + 1304794496 Main.M23.bBrakeRelease @@ -92592,7 +92817,7 @@ Emergency Stop for MR1K1 Output - 1299497240 + 1304803480 Main.M24.Axis.PlcToNc @@ -92604,7 +92829,7 @@ Emergency Stop for MR1K1 Output - 1299514176 + 1304820416 Main.M24.bBrakeRelease @@ -92617,7 +92842,7 @@ Emergency Stop for MR1K1 Output - 1299523160 + 1304829400 Main.M25.Axis.PlcToNc @@ -92629,7 +92854,7 @@ Emergency Stop for MR1K1 Output - 1299540096 + 1304846336 Main.M25.bBrakeRelease @@ -92642,7 +92867,7 @@ Emergency Stop for MR1K1 Output - 1299549080 + 1304855320 Main.fbMotionStageM25.fbDriveVirtual.MasterAxis.PlcToNc @@ -92654,7 +92879,7 @@ Emergency Stop for MR1K1 Output - 1299567552 + 1304873792 Main.M26.Axis.PlcToNc @@ -92666,7 +92891,7 @@ Emergency Stop for MR1K1 Output - 1299893440 + 1305199680 Main.M26.bBrakeRelease @@ -92679,7 +92904,7 @@ Emergency Stop for MR1K1 Output - 1299902424 + 1305208664 Main.fbMotionStageM26.fbDriveVirtual.MasterAxis.PlcToNc @@ -92691,7 +92916,7 @@ Emergency Stop for MR1K1 Output - 1299920896 + 1305227136 Main.M27.Axis.PlcToNc @@ -92703,7 +92928,7 @@ Emergency Stop for MR1K1 Output - 1300246784 + 1305553024 Main.M27.bBrakeRelease @@ -92716,7 +92941,7 @@ Emergency Stop for MR1K1 Output - 1300255768 + 1305562008 Main.fbMotionStageM27.fbDriveVirtual.MasterAxis.PlcToNc @@ -92728,7 +92953,7 @@ Emergency Stop for MR1K1 Output - 1300274240 + 1305580480 Main.M28.Axis.PlcToNc @@ -92740,7 +92965,7 @@ Emergency Stop for MR1K1 Output - 1300600128 + 1305906368 Main.M28.bBrakeRelease @@ -92753,7 +92978,7 @@ Emergency Stop for MR1K1 Output - 1300609112 + 1305915352 Main.fbMotionStageM28.fbDriveVirtual.MasterAxis.PlcToNc @@ -92765,7 +92990,7 @@ Emergency Stop for MR1K1 Output - 1300627584 + 1305933824 Main.M29.Axis.PlcToNc @@ -92777,7 +93002,7 @@ Emergency Stop for MR1K1 Output - 1300953472 + 1306259712 Main.M29.bBrakeRelease @@ -92790,7 +93015,7 @@ Emergency Stop for MR1K1 Output - 1300962456 + 1306268696 Main.fbMotionStageM29.fbDriveVirtual.MasterAxis.PlcToNc @@ -92802,7 +93027,7 @@ Emergency Stop for MR1K1 Output - 1300980928 + 1306287168 Main.M30.Axis.PlcToNc @@ -92814,7 +93039,7 @@ Emergency Stop for MR1K1 Output - 1301306816 + 1306613056 Main.M30.bBrakeRelease @@ -92827,7 +93052,7 @@ Emergency Stop for MR1K1 Output - 1301315800 + 1306622040 Main.fbMotionStageM30.fbDriveVirtual.MasterAxis.PlcToNc @@ -92839,7 +93064,7 @@ Emergency Stop for MR1K1 Output - 1301334272 + 1306640512 Main.M31.Axis.PlcToNc @@ -92851,7 +93076,7 @@ Emergency Stop for MR1K1 Output - 1301660160 + 1306966400 Main.M31.bBrakeRelease @@ -92864,7 +93089,7 @@ Emergency Stop for MR1K1 Output - 1301669144 + 1306975384 Main.fbMotionStageM31.fbDriveVirtual.MasterAxis.PlcToNc @@ -92876,7 +93101,7 @@ Emergency Stop for MR1K1 Output - 1301687616 + 1306993856 Main.M32.Axis.PlcToNc @@ -92888,7 +93113,7 @@ Emergency Stop for MR1K1 Output - 1302013504 + 1307319744 Main.M32.bBrakeRelease @@ -92901,7 +93126,7 @@ Emergency Stop for MR1K1 Output - 1302022488 + 1307328728 Main.fbMotionStageM32.fbDriveVirtual.MasterAxis.PlcToNc @@ -92913,7 +93138,7 @@ Emergency Stop for MR1K1 Output - 1302040960 + 1307347200 Main.M33.Axis.PlcToNc @@ -92925,7 +93150,7 @@ Emergency Stop for MR1K1 Output - 1302366848 + 1307673088 Main.M33.bBrakeRelease @@ -92938,7 +93163,7 @@ Emergency Stop for MR1K1 Output - 1302375832 + 1307682072 Main.fbMotionStageM33.fbDriveVirtual.MasterAxis.PlcToNc @@ -92950,7 +93175,7 @@ Emergency Stop for MR1K1 Output - 1302394304 + 1307700544 Main.M34.Axis.PlcToNc @@ -92962,7 +93187,7 @@ Emergency Stop for MR1K1 Output - 1302720192 + 1308026432 Main.M34.bBrakeRelease @@ -92975,7 +93200,7 @@ Emergency Stop for MR1K1 Output - 1302729176 + 1308035416 Main.fbMotionStageM34.fbDriveVirtual.MasterAxis.PlcToNc @@ -92987,7 +93212,7 @@ Emergency Stop for MR1K1 Output - 1302747648 + 1308053888 Main.M35.Axis.PlcToNc @@ -92999,7 +93224,7 @@ Emergency Stop for MR1K1 Output - 1303073536 + 1308379776 Main.M35.bBrakeRelease @@ -93012,7 +93237,7 @@ Emergency Stop for MR1K1 Output - 1303082520 + 1308388760 Main.fbMotionStageM35.fbDriveVirtual.MasterAxis.PlcToNc @@ -93024,7 +93249,7 @@ Emergency Stop for MR1K1 Output - 1303100992 + 1308407232 Main.M36.Axis.PlcToNc @@ -93036,7 +93261,7 @@ Emergency Stop for MR1K1 Output - 1303426880 + 1308733120 Main.M36.bBrakeRelease @@ -93049,7 +93274,7 @@ Emergency Stop for MR1K1 Output - 1303435864 + 1308742104 Main.fbMotionStageM36.fbDriveVirtual.MasterAxis.PlcToNc @@ -93061,7 +93286,7 @@ Emergency Stop for MR1K1 Output - 1303454336 + 1308760576 Main.M37.Axis.PlcToNc @@ -93073,7 +93298,7 @@ Emergency Stop for MR1K1 Output - 1303780224 + 1309086464 Main.M37.bBrakeRelease @@ -93086,7 +93311,7 @@ Emergency Stop for MR1K1 Output - 1303789208 + 1309095448 Main.fbMotionStageM37.fbDriveVirtual.MasterAxis.PlcToNc @@ -93098,239 +93323,14 @@ Emergency Stop for MR1K1 Output - 1303807680 - - - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].Axis.PlcToNc - 1024 - PLCTONC_AXIS_REF - - - TcAddressType - Output - - - 1317360000 - - - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[1].bBrakeRelease - NC Brake Output: TRUE to release brake - 8 - BOOL - - - TcAddressType - Output - - - 1317368984 - - - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].Axis.PlcToNc - 1024 - PLCTONC_AXIS_REF - - - TcAddressType - Output - - - 1317385920 - - - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[2].bBrakeRelease - NC Brake Output: TRUE to release brake - 8 - BOOL - - - TcAddressType - Output - - - 1317394904 - - - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].Axis.PlcToNc - 1024 - PLCTONC_AXIS_REF - - - TcAddressType - Output - - - 1317411840 - - - PRG_MR1K1_BEND.fbCoatingStates.astMotionStageMax[3].bBrakeRelease - NC Brake Output: TRUE to release brake - 8 - BOOL - - - TcAddressType - Output - - - 1317420824 - - - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].Axis.PlcToNc - 1024 - PLCTONC_AXIS_REF - - - TcAddressType - Output - - - 1319258496 - - - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[1].bBrakeRelease - NC Brake Output: TRUE to release brake - 8 - BOOL - - - TcAddressType - Output - - - 1319267480 - - - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].Axis.PlcToNc - 1024 - PLCTONC_AXIS_REF - - - TcAddressType - Output - - - 1319284416 - - - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[2].bBrakeRelease - NC Brake Output: TRUE to release brake - 8 - BOOL - - - TcAddressType - Output - - - 1319293400 - - - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].Axis.PlcToNc - 1024 - PLCTONC_AXIS_REF - - - TcAddressType - Output - - - 1319310336 - - - PRG_MR1K2_SWITCH.fbCoatingStates.astMotionStageMax[3].bBrakeRelease - NC Brake Output: TRUE to release brake - 8 - BOOL - - - TcAddressType - Output - - - 1319319320 - - - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].Axis.PlcToNc - 1024 - PLCTONC_AXIS_REF - - - TcAddressType - Output - - - 1320799808 - - - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[1].bBrakeRelease - NC Brake Output: TRUE to release brake - 8 - BOOL - - - TcAddressType - Output - - - 1320808792 - - - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].Axis.PlcToNc - 1024 - PLCTONC_AXIS_REF - - - TcAddressType - Output - - - 1320825728 - - - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[2].bBrakeRelease - NC Brake Output: TRUE to release brake - 8 - BOOL - - - TcAddressType - Output - - - 1320834712 - - - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].Axis.PlcToNc - 1024 - PLCTONC_AXIS_REF - - - TcAddressType - Output - - - 1320851648 - - - PRG_SP1K1_MONO.fbGratingStates.astMotionStageMax[3].bBrakeRelease - NC Brake Output: TRUE to release brake - 8 - BOOL - - - TcAddressType - Output - - - 1320860632 + 1309113920 67 PlcTask Internal 4 - 165216256 + 164888576 DefaultGlobals.stSys Included for you @@ -99130,34 +99130,23 @@ Emergency Stop for MR1K1 633593344 - PRG_MR1K1_BEND.eStateSet - 16 - E_MR1K1_States + MOTION_GVL.fbStandardPMPSDB + Global DB handler, Must be called in PLC project to use the PMPS DB for a motion project + 98368 + FB_Standard_PMPSDB pytmc - pv: MR1K1:BEND:COATING:STATE:SET - io: io + pv: @(PREFIX)DB + io: io - - 634550864 - - - PRG_MR1K1_BEND.eStateGet - 16 - E_MR1K1_States - - pytmc - - pv: MR1K1:BEND:COATING:STATE:GET - io: i - + TcVarGlobal - 634550880 + 634528960 Global_Version.stLibVersion_lcls_twincat_motion @@ -99197,7 +99186,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634559104 + 634627328 PMPS_GVL.stRequestedBeamParameters @@ -99217,7 +99206,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634559392 + 634627616 PMPS_GVL.stCurrentBeamParameters @@ -99237,7 +99226,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634561152 + 634629376 PMPS_GVL.g_areVBoundaries @@ -99262,7 +99251,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634562912 + 634631136 PMPS_GVL.PERange @@ -99274,7 +99263,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634563968 + 634632192 PMPS_GVL.EXCLUDED_ASSERTION_ID @@ -99289,7 +99278,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634564064 + 634632288 PMPS_GVL.VISIBLE_TEST_VELOCITY @@ -99303,7 +99292,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634564160 + 634632384 PMPS_GVL.FAST_TEST_VELOCITY @@ -99317,7 +99306,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634564224 + 634632448 PMPS_GVL.MAX_DEVICE_STATES @@ -99331,7 +99320,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634564288 + 634632512 PMPS_GVL.TRANS_SCALING_FACTOR @@ -99346,7 +99335,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634564320 + 634632544 PMPS_GVL.AUX_ATTENUATORS @@ -99361,7 +99350,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634564352 + 634632576 PMPS_GVL.MAX_VETO_DEVICES @@ -99375,7 +99364,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634564368 + 634632592 PMPS_GVL.stAttenuators @@ -99396,7 +99385,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634564384 + 634632608 PMPS_GVL.cstFullBeam @@ -99416,7 +99405,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634564448 + 634632672 PMPS_GVL.cst0RateBeam @@ -99436,7 +99425,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634566208 + 634634432 PMPS_GVL.cnMaxStateArrayLen @@ -99461,7 +99450,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634567968 + 634636192 PMPS_GVL.MAX_APERTURES @@ -99476,7 +99465,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634567984 + 634636208 PMPS_GVL.DUMMY_AUX_ATT_ARRAY @@ -99495,7 +99484,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634568000 + 634636224 PMPS_GVL.g_cBoundaries @@ -99509,7 +99498,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634569024 + 634637248 PMPS_PARAM.MAX_FAST_FAULTS @@ -99524,7 +99513,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634569040 + 634637264 PMPS_GVL.reVHyst @@ -99551,7 +99540,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634569056 + 634637280 PMPS_GVL.g_areVBoundariesL @@ -99706,7 +99695,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634569088 + 634637312 PMPS_GVL.g_areVBoundariesK @@ -99861,7 +99850,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634570112 + 634638336 PMPS_PARAM.MAX_ASSERTIONS @@ -99876,7 +99865,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634571136 + 634639360 PMPS_PARAM.TRANS_MARGIN @@ -99891,7 +99880,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634571168 + 634639392 PMPS_TOOLS.fbJson @@ -99902,7 +99891,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634571200 + 634639424 Global_Version.stLibVersion_Tc2_MC2 @@ -99938,7 +99927,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634571584 + 634639808 GVL_Param_TcUnit.MaxNumberOfTestSuites @@ -99952,7 +99941,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634571872 + 634640096 GVL_Param_TcUnit.MaxNumberOfTestsForEachTestSuite @@ -99966,7 +99955,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634571888 + 634640112 Global_Variables.TcMcGlobal @@ -99977,7 +99966,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634571904 + 634640128 Global_Variables.DEFAULT_HOME_POSITION @@ -99991,7 +99980,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634579008 + 634647232 Global_Variables.DEFAULT_BACKLASHVALUE @@ -100005,7 +99994,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634579072 + 634647296 Global_Version.stLibVersion_Tc2_Math @@ -100041,7 +100030,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634579136 + 634647360 GVL_Param_TcUnit.MaxNumberOfAssertsForEachTestSuite @@ -100055,7 +100044,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634579424 + 634647648 GVL_Param_TcUnit.xUnitEnablePublish @@ -100070,7 +100059,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634579440 + 634647664 GVL_TcUnit.TestSuiteIsRegistered @@ -100082,7 +100071,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634579448 + 634647672 GVL_Param_TcUnit.xUnitBufferSize @@ -100097,7 +100086,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634579456 + 634647680 GVL_Param_TcUnit.xUnitFilePath @@ -100112,7 +100101,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634579488 + 634647712 GVL_Param_TcUnit.AdsLogMessageFifoRingBufferSize @@ -100130,7 +100119,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634581536 + 634649760 GVL_TcUnit.CurrentTestIsFinished @@ -100142,7 +100131,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634581552 + 634649776 GVL_TcUnit.IgnoreCurrentTest @@ -100156,7 +100145,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634581560 + 634649784 GVL_Param_TcUnit.TimeBetweenTestSuitesExecution @@ -100172,7 +100161,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634581568 + 634649792 GVL_TcUnit.NumberOfInitializedTestSuites @@ -100188,7 +100177,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634581600 + 634649824 Global_Variables.nCTRL_LOGGER_DATA_ARRAY_SIZE @@ -100202,7 +100191,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634581616 + 634649840 GVL_TcUnit.TcUnitRunner @@ -100213,7 +100202,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 634581632 + 634649856 GVL_TcUnit.CurrentTestSuiteBeingCalled @@ -100225,7 +100214,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 1256410112 + 1256478336 GVL_TcUnit.CurrentTestNameBeingCalled @@ -100237,7 +100226,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 1256410176 + 1256478400 GVL_TcUnit.TestSuiteAddresses @@ -100252,7 +100241,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 1256412224 + 1256480448 GVL_TcUnit.CurrentlyRunningOrderedTestInTestSuite @@ -100270,7 +100259,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 1256476224 + 1256544448 GVL_TcUnit.AdsMessageQueue @@ -100282,7 +100271,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 1256492224 + 1256560448 Global_Version.stLibVersion_TcUnit @@ -100318,7 +100307,87 @@ Emergency Stop for MR1K1 TcVarGlobal - 1264813376 + 1264881600 + + + Global_Version.stLibVersion_Tc3_IPCDiag + 288 + ST_LibVersion + + + .iMajor + 1 + + + .iMinor + 0 + + + .iBuild + 12 + + + .iRevision + 0 + + + .nFlags + 1 + + + .sVersion + 1.0.12.0 + + + + + const_non_replaced + + + TcVarGlobal + + + 1264881888 + + + Global_Version.stLibVersion_Tc3_DynamicMemory + 288 + ST_LibVersion + + + .iMajor + 1 + + + .iMinor + 0 + + + .iBuild + 2 + + + .iRevision + 0 + + + .nFlags + 0 + + + .sVersion + 1.0.2.0 + + + + + const_non_replaced + + + TcVarGlobal + + + 1264883840 GVL_Constants.cPiezoRange @@ -100333,7 +100402,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 1264813664 + 1264884128 GVL_Constants.nGANTRY_TOLERANCE_NM_DEFAULT @@ -100348,7 +100417,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 1264813696 + 1264884160 GVL_Constants.cPiezoMaxVoltage @@ -100363,7 +100432,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 1264813760 + 1264884224 GVL_Constants.cPiezoMinVoltage @@ -100378,7 +100447,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 1264813824 + 1264884288 GVL_TestStructs.TestPitch_LimitSwitches @@ -100407,7 +100476,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 1264813888 + 1264884352 Global_Version.stLibVersion_lcls_twincat_optics @@ -100447,7 +100516,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 1264816384 + 1264886848 Global_Version.stLibVersion_Tc2_ControllerToolbox @@ -100487,7 +100556,7 @@ Emergency Stop for MR1K1 TcVarGlobal - 1264816672 + 1264887136 Global_Variables.stCtrl_GLOBAL_CycleTimeInterpretation @@ -100498,13 +100567,24 @@ Emergency Stop for MR1K1 TcVarGlobal - 1264816960 + 1264887424 + + + FB_DynMem_Manager.nInstanceCreations + 16 + UINT + + + TcVarStatic + + + 1264978656 PiezoSerial.rtInitParams_M1K2 128 R_TRIG - 1265046656 + 1265129472 PiezoSerial.tonTimeoutRst_M1K2 @@ -100517,68 +100597,39 @@ Emergency Stop for MR1K1 T#2S - 1265046784 + 1265129600 PRG_DAQ_ENCODER.nBusyCycles Temp testing 16 UINT - 1265051744 + 1265135504 PRG_DAQ_ENCODER.nMaxBusyCycles 16 UINT - 1265051760 + 1265135520 PRG_DAQ_ENCODER.nDroppedFrames 16 UINT - 1265827776 - - - PRG_MR1K1_BEND.bM1K1PitchDone - 8 - BOOL - 1265827792 - - - PRG_MR1K1_BEND.bM1K1PitchBusy - 8 - BOOL - 1265827800 - - - PRG_MR1K1_BEND.nEncCntYupM1K1 - Raw Encoder Counts - 32 - UDINT - - - pytmc - - pv: MR1K1:BEND:ENC:YUP:CNT - field: EGU cnt - io: i - - - - 1265827872 + 1265135536 PRG_1_PlcTask.fbLogHandler 5798336 FB_LogHandler - 1265833536 + 1265916288 PRG_Stats.fMpiEncoderPosDiff SP1K1 Mirror Pitch Mono Vibration Stats 64 LREAL - 1271778176 + 1271860928 PRG_Stats.afMpiPosDiffBuffer @@ -100588,7 +100639,7 @@ Emergency Stop for MR1K1 1 10000 - 1271778240 + 1271860992 PRG_Stats.afMpiExtraBuffer @@ -100598,13 +100649,13 @@ Emergency Stop for MR1K1 1 10000 - 1272418240 + 1272500992 PRG_Stats.fbMpiPosDiffCollect 448 FB_DataBuffer - 1273058240 + 1273140992 PRG_Stats.fbMpiPosDiffStats @@ -100618,7 +100669,7 @@ Emergency Stop for MR1K1 - 1273058688 + 1273141440 PRG_MR1K1_BEND.M1K1 @@ -100641,7 +100692,7 @@ Emergency Stop for MR1K1 - 1273067520 + 1273160832 PRG_MR1K1_BEND.fbYRMSErrorM1K1 @@ -100656,19 +100707,19 @@ Emergency Stop for MR1K1 - 1273091072 + 1273184384 PRG_MR1K1_BEND.fMaxYRMSErrorM1K1 64 LREAL - 1273478592 + 1273571904 PRG_MR1K1_BEND.fMinYRMSErrorM1K1 64 LREAL - 1273478656 + 1273571968 PRG_MR1K1_BEND.fbXRMSErrorM1K1 @@ -100682,19 +100733,19 @@ Emergency Stop for MR1K1 - 1273478720 + 1273572032 PRG_MR1K1_BEND.fMaxXRMSErrorM1K1 64 LREAL - 1273866240 + 1273959552 PRG_MR1K1_BEND.fMinXRMSErrorM1K1 64 LREAL - 1273866304 + 1273959616 PRG_MR1K1_BEND.fbPitchRMSErrorM1K1 @@ -100708,26 +100759,55 @@ Emergency Stop for MR1K1 - 1273866368 + 1273959680 PRG_MR1K1_BEND.fMaxPitchRMSErrorM1K1 64 LREAL - 1274253888 + 1274347200 PRG_MR1K1_BEND.fMinPitchRMSErrorM1K1 64 LREAL - 1274253952 + 1274347264 PRG_MR1K1_BEND.fbM1K1PitchControl Pitch Control 397888 FB_PitchControl - 1274254016 + 1274347328 + + + PRG_MR1K1_BEND.bM1K1PitchDone + 8 + BOOL + 1274745216 + + + PRG_MR1K1_BEND.bM1K1PitchBusy + 8 + BOOL + 1274745224 + + + PRG_MR1K1_BEND.nEncCntYupM1K1 + Raw Encoder Counts + 32 + UDINT + + + pytmc + + pv: MR1K1:BEND:ENC:YUP:CNT + field: EGU cnt + io: i + + + + 1274745248 PRG_MR1K1_BEND.fbMotionStage_m16 @@ -100736,7 +100816,7 @@ Emergency Stop for MR1K1 Using stepper only for now 327424 FB_MotionStage - 1274651904 + 1274745280 PRG_MR1K1_BEND.nEncCntYdwnM1K1 @@ -100752,7 +100832,7 @@ Emergency Stop for MR1K1 - 1274979328 + 1275072704 PRG_MR1K1_BEND.nEncCntXupM1K1 @@ -100768,7 +100848,7 @@ Emergency Stop for MR1K1 - 1274979360 + 1275072736 PRG_MR1K1_BEND.nEncCntXdwnM1K1 @@ -100784,7 +100864,7 @@ Emergency Stop for MR1K1 - 1274979392 + 1275072768 PRG_MR1K1_BEND.nEncCntPitchM1K1 @@ -100800,7 +100880,7 @@ Emergency Stop for MR1K1 - 1274979424 + 1275072800 PRG_MR1K1_BEND.nEncRefYupM1K1 @@ -100817,7 +100897,7 @@ Emergency Stop for MR1K1 - 1274979456 + 1275072832 PRG_MR1K1_BEND.nEncRefYdwnM1K1 @@ -100833,7 +100913,7 @@ Emergency Stop for MR1K1 - 1274979488 + 1275072864 PRG_MR1K1_BEND.nEncRefXupM1K1 @@ -100849,7 +100929,7 @@ Emergency Stop for MR1K1 - 1274979520 + 1275072896 PRG_MR1K1_BEND.nEncRefXdwnM1K1 @@ -100865,7 +100945,7 @@ Emergency Stop for MR1K1 - 1274979552 + 1275072928 PRG_MR1K1_BEND.nEncRefPitchM1K1 @@ -100881,20 +100961,20 @@ Emergency Stop for MR1K1 - 1274979584 + 1275072960 PRG_MR1K1_BEND.mcReadParameterPitchM1K1 4992 MC_ReadParameter - 1274979648 + 1275073024 PRG_MR1K1_BEND.fEncRefPitchM1K1_urad Current Pitch encoder offset in urad 64 LREAL - 1274984640 + 1275078016 PRG_MR1K1_BEND.fEncLeverArm_mm @@ -100904,7 +100984,37 @@ Emergency Stop for MR1K1 410 - 1274984704 + 1275078080 + + + PRG_MR1K1_BEND.eStateSet + 16 + E_MR1K1_States + + + pytmc + + pv: MR1K1:BEND:COATING:STATE:SET + io: io + + + + 1275078176 + + + PRG_MR1K1_BEND.eStateGet + 16 + E_MR1K1_States + + + pytmc + + pv: MR1K1:BEND:COATING:STATE:GET + io: i + + + + 1275078192 PRG_MR1K1_BEND.fM1K1_Flow_1 @@ -100917,7 +101027,7 @@ Emergency Stop for MR1K1 .iRaw := TIIB[EL3054_M1K1_FWM_PRSM]^AI Standard Channel 1^Value - 1274984832 + 1275078208 PRG_MR1K1_BEND.fM1K1_Flow_1_val @@ -100933,7 +101043,7 @@ Emergency Stop for MR1K1 - 1274985344 + 1275078720 PRG_MR1K1_BEND.fM1K1_Flow_2 @@ -100945,7 +101055,7 @@ Emergency Stop for MR1K1 .iRaw := TIIB[EL3054_M1K1_FWM_PRSM]^AI Standard Channel 2^Value - 1274985408 + 1275078784 PRG_MR1K1_BEND.fM1K1_Flow_2_val @@ -100961,7 +101071,7 @@ Emergency Stop for MR1K1 - 1274985920 + 1275079296 PRG_MR1K1_BEND.fM1K1_Press_1 @@ -100973,7 +101083,7 @@ Emergency Stop for MR1K1 .iRaw := TIIB[EL3054_M1K1_FWM_PRSM]^AI Standard Channel 3^Value - 1274985984 + 1275079360 PRG_MR1K1_BEND.fM1K1_Press_1_val @@ -100989,7 +101099,51 @@ Emergency Stop for MR1K1 - 1274986496 + 1275079872 + + + PRG_MR1K1_BEND.fbCoatingStates + 1541440 + FB_PositionStatePMPS2D + + + pytmc + pv: MR1K1:BEND:COATING + + + 1275079936 + + + PRG_MR1K1_BEND.fbYSetup + 92352 + FB_StateSetupHelper + 1276621376 + + + PRG_MR1K1_BEND.fbXSetup + 92352 + FB_StateSetupHelper + 1276713728 + + + PRG_MR1K1_BEND.astCoatingStatesY + 54720 + ST_PositionState + + 1 + 15 + + 1276806080 + + + PRG_MR1K1_BEND.astCoatingStatesX + 54720 + ST_PositionState + + 1 + 15 + + 1276860800 PRG_MR1K1_BEND_BENDER.fbBendUSRMSErrorM1K1 @@ -101005,19 +101159,19 @@ MR1K1 US BENDER ENC RMS - 1274986560 + 1276915520 PRG_MR1K1_BEND_BENDER.fMaxBendUSRMSErrorM1K1 64 LREAL - 1275374080 + 1277303040 PRG_MR1K1_BEND_BENDER.fMinBendUSRMSErrorM1K1 64 LREAL - 1275374144 + 1277303104 PRG_MR1K1_BEND_BENDER.fbBendDSRMSErrorM1K1 @@ -101032,19 +101186,19 @@ MR1K1 US BENDER ENC RMS - 1275374208 + 1277303168 PRG_MR1K1_BEND_BENDER.fMaxBendDSRMSErrorM1K1 64 LREAL - 1275761728 + 1277690688 PRG_MR1K1_BEND_BENDER.fMinBendDSRMSErrorM1K1 64 LREAL - 1275761792 + 1277690752 PRG_MR1K1_BEND_BENDER.nEncRefBendUSM1K1 @@ -101062,7 +101216,7 @@ MR1K1 BEND US ENC REF - 1275761856 + 1277690816 PRG_MR1K1_BEND_BENDER.nEncRefBendDSM1K1 @@ -101079,7 +101233,7 @@ MR1K1 BEND US ENC REF - 1275761888 + 1277690848 PRG_MR1K1_BEND_BENDER.nEncCntBendUSM1K1 @@ -101097,7 +101251,7 @@ M1K1 BEND US ENC CNT - 1275761920 + 1277690880 PRG_MR1K1_BEND_BENDER.nEncCntBendDSM1K1 @@ -101114,7 +101268,7 @@ M1K1 BEND US ENC CNT - 1275761952 + 1277690912 PRG_MR1K1_BEND_BENDER.fM1K1US_RTD_1 @@ -101133,7 +101287,7 @@ M1K1 BEND US ENC CNT - 1275761984 + 1277690976 PRG_MR1K1_BEND_BENDER.fM1K1US_RTD_2 @@ -101150,7 +101304,7 @@ M1K1 BEND US ENC CNT - 1275762016 + 1277691008 PRG_MR1K1_BEND_BENDER.fM1K1US_RTD_3 @@ -101167,7 +101321,7 @@ M1K1 BEND US ENC CNT - 1275762048 + 1277691040 PRG_MR1K1_BEND_BENDER.fM1K1DS_RTD_1 @@ -101185,7 +101339,7 @@ M1K1 BEND US ENC CNT - 1275762080 + 1277691072 PRG_MR1K1_BEND_BENDER.fM1K1DS_RTD_2 @@ -101202,7 +101356,7 @@ M1K1 BEND US ENC CNT - 1275762112 + 1277691104 PRG_MR1K1_BEND_BENDER.fM1K1DS_RTD_3 @@ -101219,20 +101373,49 @@ M1K1 BEND US ENC CNT - 1275762144 + 1277691136 + + + PRG_MR1K1_BEND_BENDER.fbLogHandler + Logging + 5798336 + FB_LogHandler + 1277691200 PRG_MR1K1_BEND_BENDER.fbBendUSRMSErrorMR1K1 16 INT - 1275762224 + 1283489536 - PRG_MR1K1_BEND_BENDER.fbLogHandler - Logging - 5798336 - FB_LogHandler - 1275762240 + PRG_MR1K2_SWITCH.bM1K2PitchDone + 8 + BOOL + 1283489552 + + + PRG_MR1K2_SWITCH.bM1K2PitchBusy + 8 + BOOL + 1283489560 + + + PRG_MR1K2_SWITCH.nEncCntYleftM1K2 + Raw Encoder Counts + 32 + UDINT + + + pytmc + + pv: MR1K2:SWITCH:ENC:YLEFT:CNT + field: EGU cnt + io: i + + + + 1283489568 PRG_MR1K1_BEND_BENDER.ffBenderRange @@ -101257,7 +101440,7 @@ M1K1 BEND US ENC CNT 1026 - 1281560576 + 1283489600 PRG_MR1K2_SWITCH.M1K2 @@ -101280,7 +101463,7 @@ M1K1 BEND US ENC CNT - 1281586496 + 1283515904 PRG_MR1K2_SWITCH.fbYRMSErrorM1K2 @@ -101295,19 +101478,19 @@ M1K1 BEND US ENC CNT - 1281610048 + 1283539456 PRG_MR1K2_SWITCH.fMaxYRMSErrorM1K2 64 LREAL - 1281997568 + 1283926976 PRG_MR1K2_SWITCH.fMinYRMSErrorM1K2 64 LREAL - 1281997632 + 1283927040 PRG_MR1K2_SWITCH.fbXRMSErrorM1K2 @@ -101321,19 +101504,19 @@ M1K1 BEND US ENC CNT - 1281997696 + 1283927104 PRG_MR1K2_SWITCH.fMaxXRMSErrorM1K2 64 LREAL - 1282385216 + 1284314624 PRG_MR1K2_SWITCH.fMinXRMSErrorM1K2 64 LREAL - 1282385280 + 1284314688 PRG_MR1K2_SWITCH.fbPitchRMSErrorM1K2 @@ -101347,55 +101530,26 @@ M1K1 BEND US ENC CNT - 1282385344 + 1284314752 PRG_MR1K2_SWITCH.fMaxPitchRMSErrorM1K2 64 LREAL - 1282772864 + 1284702272 PRG_MR1K2_SWITCH.fMinPitchRMSErrorM1K2 64 LREAL - 1282772928 + 1284702336 PRG_MR1K2_SWITCH.fbM1K2PitchControl Pitch Control 397888 FB_PitchControl - 1282772992 - - - PRG_MR1K2_SWITCH.bM1K2PitchDone - 8 - BOOL - 1283170880 - - - PRG_MR1K2_SWITCH.bM1K2PitchBusy - 8 - BOOL - 1283170888 - - - PRG_MR1K2_SWITCH.nEncCntYleftM1K2 - Raw Encoder Counts - 32 - UDINT - - - pytmc - - pv: MR1K2:SWITCH:ENC:YLEFT:CNT - field: EGU cnt - io: i - - - - 1283170912 + 1284702400 PRG_MR1K2_SWITCH.fbMotionStage_m5 @@ -101403,7 +101557,7 @@ M1K1 BEND US ENC CNT Using stepper only for now 327424 FB_MotionStage - 1283170944 + 1285100288 PRG_MR1K2_SWITCH.fYRoll_urad @@ -101420,7 +101574,7 @@ M1K1 BEND US ENC CNT - 1283498368 + 1285427712 PRG_MR1K2_SWITCH.nEncCntYrightM1K2 @@ -101436,7 +101590,7 @@ M1K1 BEND US ENC CNT - 1283498432 + 1285427776 PRG_MR1K2_SWITCH.nEncCntXupM1K2 @@ -101452,7 +101606,7 @@ M1K1 BEND US ENC CNT - 1283498464 + 1285427808 PRG_MR1K2_SWITCH.nEncCntXdwnM1K2 @@ -101468,7 +101622,7 @@ M1K1 BEND US ENC CNT - 1283498496 + 1285427840 PRG_MR1K2_SWITCH.nEncCntPitchM1K2 @@ -101484,7 +101638,7 @@ M1K1 BEND US ENC CNT - 1283498528 + 1285427872 PRG_MR1K2_SWITCH.nEncRefYleftM1K2 @@ -101501,7 +101655,7 @@ M1K1 BEND US ENC CNT - 1283498560 + 1285427904 PRG_MR1K2_SWITCH.nEncRefYrightM1K2 @@ -101517,7 +101671,7 @@ M1K1 BEND US ENC CNT - 1283498592 + 1285427936 PRG_MR1K2_SWITCH.nEncRefXupM1K2 @@ -101533,7 +101687,7 @@ M1K1 BEND US ENC CNT - 1283498624 + 1285427968 PRG_MR1K2_SWITCH.nEncRefXdwnM1K2 @@ -101549,7 +101703,7 @@ M1K1 BEND US ENC CNT - 1283498656 + 1285428000 PRG_MR1K2_SWITCH.nEncRefPitchM1K2 @@ -101565,20 +101719,50 @@ M1K1 BEND US ENC CNT - 1283498688 + 1285428032 + + + PRG_MR1K2_SWITCH.eStateSet + 16 + E_B4C_Rh_CoatingStates + + + pytmc + + pv: MR1K2:SWITCH:COATING:STATE:SET + io: io + + + + 1285428064 + + + PRG_MR1K2_SWITCH.eStateGet + 16 + E_B4C_Rh_CoatingStates + + + pytmc + + pv: MR1K2:SWITCH:COATING:STATE:GET + io: i + + + + 1285428080 PRG_MR1K2_SWITCH.mcReadParameterPitchM1K2 4992 MC_ReadParameter - 1283498752 + 1285428096 PRG_MR1K2_SWITCH.fEncRefPitchM1K2_urad Current Pitch encoder offset in urad 64 LREAL - 1283503744 + 1285433088 PRG_MR1K2_SWITCH.fEncLeverArm_mm @@ -101588,7 +101772,7 @@ M1K1 BEND US ENC CNT 391 - 1283503808 + 1285433152 PRG_MR1K2_SWITCH.fM1K2_Flow_1 @@ -101601,7 +101785,7 @@ M1K1 BEND US ENC CNT .iRaw := TIIB[EL3054_M1K2_FWM_PRSM]^AI Standard Channel 1^Value - 1283503872 + 1285433216 PRG_MR1K2_SWITCH.fM1K2_Flow_1_val @@ -101617,7 +101801,7 @@ M1K1 BEND US ENC CNT - 1283504384 + 1285433728 PRG_MR1K2_SWITCH.fM1K2_Flow_2 @@ -101629,7 +101813,7 @@ M1K1 BEND US ENC CNT .iRaw := TIIB[EL3054_M1K2_FWM_PRSM]^AI Standard Channel 2^Value - 1283504448 + 1285433792 PRG_MR1K2_SWITCH.fM1K2_Flow_2_val @@ -101645,7 +101829,7 @@ M1K1 BEND US ENC CNT - 1283504960 + 1285434304 PRG_MR1K2_SWITCH.fM1K2_Press_1 @@ -101657,7 +101841,7 @@ M1K1 BEND US ENC CNT .iRaw := TIIB[EL3054_M1K2_FWM_PRSM]^AI Standard Channel 3^Value - 1283505024 + 1285434368 PRG_MR1K2_SWITCH.fM1K2_Press_1_val @@ -101673,43 +101857,86 @@ M1K1 BEND US ENC CNT - 1283505536 + 1285434880 + + + PRG_MR1K2_SWITCH.fbCoatingStates + 1541312 + FB_PositionStatePMPS1D + + + pytmc + pv: MR1K2:SWITCH:COATING + + + 1285434944 + + + PRG_MR1K2_SWITCH.fbYSetup + 92352 + FB_StateSetupHelper + 1286976256 + + + PRG_MR1K2_SWITCH.astCoatingStatesY + 54720 + ST_PositionState + + 1 + 15 + + 1287068608 + + + PRG_SP1K1_MONO.eStateSet + 16 + E_Grating_States + + + pytmc + + pv: SP1K1:MONO:GRATING:STATE:SET + io: io + + + + 1287123696 PRG_SP1K1_MONO.fbMotionStage_m_pi 327424 FB_MotionStage - 1283505920 + 1287123712 PRG_SP1K1_MONO.fbMotionStage_g_pi 327424 FB_MotionStage - 1283833344 + 1287451136 PRG_SP1K1_MONO.fbMotionStage_m_h 327424 FB_MotionStage - 1284160768 + 1287778560 PRG_SP1K1_MONO.fbMotionStage_g_h 327424 FB_MotionStage - 1284488192 + 1288105984 PRG_SP1K1_MONO.fbMotionStage_s_r 327424 FB_MotionStage - 1284815616 + 1288433408 PRG_SP1K1_MONO.fbMotionStage_s_io 327424 FB_MotionStage - 1285143040 + 1288760832 PRG_SP1K1_MONO.mpi_upeurad @@ -101724,7 +101951,7 @@ M1K1 BEND US ENC CNT - 1285470720 + 1289088512 PRG_SP1K1_MONO.gpi_upeurad @@ -101739,7 +101966,7 @@ M1K1 BEND US ENC CNT - 1285470784 + 1289088576 PRG_SP1K1_MONO.RTD1 @@ -101762,7 +101989,7 @@ M1K1 BEND US ENC CNT .bOverrange := TIIB[SP1K1-EP3204-0002-EP2]^RTD RTDInputs Channel 1^Status^Overrange - 1285470848 + 1289088640 PRG_SP1K1_MONO.RTD2 @@ -101784,7 +102011,7 @@ M1K1 BEND US ENC CNT .bOverrange := TIIB[SP1K1-EP3204-0002-EP2]^RTD RTDInputs Channel 2^Status^Overrange - 1285471104 + 1289088896 PRG_SP1K1_MONO.RTD3 @@ -101806,7 +102033,7 @@ M1K1 BEND US ENC CNT .bOverrange := TIIB[SP1K1-EP3204-0002-EP2]^RTD RTDInputs Channel 3^Status^Overrange - 1285471360 + 1289089152 PRG_SP1K1_MONO.RTD4 @@ -101828,7 +102055,7 @@ M1K1 BEND US ENC CNT .bOverrange := TIIB[SP1K1-EP3204-0002-EP2]^RTD RTDInputs Channel 4^Status^Overrange - 1285471616 + 1289089408 PRG_SP1K1_MONO.RTD5 @@ -101850,7 +102077,7 @@ M1K1 BEND US ENC CNT .bOverrange := TIIB[SP1K1-EL3204-E15]^RTD Inputs Channel 1^Status^Overrange - 1285471872 + 1289089664 PRG_SP1K1_MONO.RTD6 @@ -101872,7 +102099,7 @@ M1K1 BEND US ENC CNT .bOverrange := TIIB[SP1K1-EL3204-E15]^RTD Inputs Channel 2^Status^Overrange - 1285472128 + 1289089920 PRG_SP1K1_MONO.RTD7 @@ -101894,7 +102121,7 @@ M1K1 BEND US ENC CNT .bOverrange := TIIB[SP1K1-EL3204-E15]^RTD Inputs Channel 3^Status^Overrange - 1285472384 + 1289090176 PRG_SP1K1_MONO.RTD8 @@ -101916,7 +102143,7 @@ M1K1 BEND US ENC CNT .bOverrange := TIIB[SP1K1-EL3204-E15]^RTD Inputs Channel 4^Status^Overrange - 1285472640 + 1289090432 PRG_SP1K1_MONO.RTD9 @@ -101938,7 +102165,7 @@ M1K1 BEND US ENC CNT .bOverrange := TIIB[SP1K1-EL3204-E16]^RTD Inputs Channel 1^Status^Overrange - 1285472896 + 1289090688 PRG_SP1K1_MONO.RTD10 @@ -101960,7 +102187,7 @@ M1K1 BEND US ENC CNT .bOverrange := TIIB[SP1K1-EL3204-E16]^RTD Inputs Channel 2^Status^Overrange - 1285473152 + 1289090944 PRG_SP1K1_MONO.RTD11 @@ -101982,7 +102209,7 @@ M1K1 BEND US ENC CNT .bOverrange := TIIB[SP1K1-EL3204-E16]^RTD Inputs Channel 3^Status^Overrange - 1285473408 + 1289091200 PRG_SP1K1_MONO.RTD12 @@ -102004,7 +102231,7 @@ M1K1 BEND US ENC CNT .bOverrange := TIIB[SP1K1-EL3204-E16]^RTD Inputs Channel 4^Status^Overrange - 1285473664 + 1289091456 PRG_SP1K1_MONO.fipi_read @@ -102020,7 +102247,7 @@ M1K1 BEND US ENC CNT - 1285473920 + 1289091712 PRG_SP1K1_MONO.fipi_set @@ -102035,7 +102262,7 @@ M1K1 BEND US ENC CNT - 1285473984 + 1289091776 PRG_SP1K1_MONO.sd_io_FFO @@ -102055,7 +102282,7 @@ M1K1 BEND US ENC CNT 4368 - 1285474048 + 1289091840 PRG_SP1K1_MONO.sd_io_e_pmps @@ -102064,7 +102291,7 @@ M1K1 BEND US ENC CNT 74000.29 - 1285499968 + 1289117760 PRG_SP1K1_MONO.fSP1K1_Flow_1 @@ -102077,7 +102304,7 @@ M1K1 BEND US ENC CNT .iRaw := TIIB[EL3054_SP1K1_FWM_PRSM]^AI Standard Channel 1^Value - 1285500032 + 1289117824 PRG_SP1K1_MONO.fSP1K1_Flow_1_val @@ -102093,7 +102320,7 @@ M1K1 BEND US ENC CNT - 1285500544 + 1289118336 PRG_SP1K1_MONO.fSP1K1_Flow_2 @@ -102105,7 +102332,7 @@ M1K1 BEND US ENC CNT .iRaw := TIIB[EL3054_SP1K1_FWM_PRSM]^AI Standard Channel 2^Value - 1285500608 + 1289118400 PRG_SP1K1_MONO.fSP1K1_Flow_2_val @@ -102121,7 +102348,7 @@ M1K1 BEND US ENC CNT - 1285501120 + 1289118912 PRG_SP1K1_MONO.fSP1K1_Press_1 @@ -102133,7 +102360,7 @@ M1K1 BEND US ENC CNT .iRaw := TIIB[EL3054_SP1K1_FWM_PRSM]^AI Standard Channel 3^Value - 1285501184 + 1289118976 PRG_SP1K1_MONO.fSP1K1_Press_1_val @@ -102149,7 +102376,104 @@ M1K1 BEND US ENC CNT - 1285501696 + 1289119488 + + + PRG_SP1K1_MONO.stDefaultGH + 3648 + ST_PositionState + + + .fDelta + 2000 + + + .fVelocity + 875 + + + .fAccel + 6923 + + + .fDecel + 6923 + + + .bMoveOk + true + + + .bValid + true + + + .bUseRawCounts + true + + + 1289119552 + + + PRG_SP1K1_MONO.fbGratingStates + 1541312 + FB_PositionStatePMPS1D + + + pytmc + pv: SP1K1:MONO:GRATING + + + 1289123200 + + + PRG_SP1K1_MONO.eStateGet + 16 + E_Grating_States + + + pytmc + + pv: SP1K1:MONO:GRATING:STATE:GET + io: i + + + + 1290664512 + + + PRG_SL1K2_EXIT.bInit + 8 + BOOL + + true + + 1290664536 + + + PRG_2_PMPS_POST.delta + 32 + REAL + + 0.1 + + 1290664544 + + + PRG_SP1K1_MONO.fbGHSetup + 92352 + FB_StateSetupHelper + 1290664576 + + + PRG_SP1K1_MONO.astGratingStates + 54720 + ST_PositionState + + 1 + 15 + + 1290756928 PRG_SL1K2_EXIT.FFO @@ -102169,37 +102493,37 @@ M1K1 BEND US ENC CNT 3664 - 1285509568 + 1290815808 PRG_SL1K2_EXIT.fbPitch 327424 FB_MotionStage - 1285535488 + 1290841728 PRG_SL1K2_EXIT.fbRoll 327424 FB_MotionStage - 1285862912 + 1291169152 PRG_SL1K2_EXIT.fbVertical 327424 FB_MotionStage - 1286190336 + 1291496576 PRG_SL1K2_EXIT.fbGap 327424 FB_MotionStage - 1286517760 + 1291824000 PRG_SL1K2_EXIT.fbYag 327424 FB_MotionStage - 1286845184 + 1292151424 PRG_SL1K2_EXIT.fbStates @@ -102214,7 +102538,7 @@ M1K1 BEND US ENC CNT - 1287172608 + 1292478848 PRG_SL1K2_EXIT.RTD_Crystal_TOP @@ -102235,7 +102559,7 @@ M1K1 BEND US ENC CNT .bOverrange := TIIB[EL3201_SL1K2_1]^RTD^Status^Overrange - 1287867328 + 1293173568 PRG_SL1K2_EXIT.RTD_Crystal_BOTTOM @@ -102256,7 +102580,7 @@ M1K1 BEND US ENC CNT .bOverrange := TIIB[EL3201_SL1K2_2]^RTD^Status^Overrange - 1287867584 + 1293173824 PRG_SL1K2_EXIT.RTD_YAG @@ -102277,7 +102601,7 @@ M1K1 BEND US ENC CNT .bOverrange := TIIB[EL3201_SL1K2_4]^RTD^Status^Overrange - 1287867840 + 1293174080 PRG_SL1K2_EXIT.RTD_HeatSync @@ -102298,57 +102622,7 @@ M1K1 BEND US ENC CNT .bOverrange := TIIB[EL3201_SL1K2_3]^RTD^Status^Overrange - 1287868096 - - - PRG_SL1K2_EXIT.bInit - 8 - BOOL - - true - - 1287868360 - - - PRG_ZeroOrder_PMPS.bSafeBenderRange - 8 - BOOL - - - pytmc - - pv: @(PREFIX)SafeBenderRange - field: ZNAM FALSE - field: ONAM TRUE - - - - 1287868368 - - - PRG_ZeroOrder_PMPS.bLRG_Grating_IN - 8 - BOOL - - - pytmc - - pv: @(PREFIX)LRG_Grating_IN - field: ZNAM FALSE - field: ONAM TRUE - - - - 1287868376 - - - PRG_2_PMPS_POST.delta - 32 - REAL - - 0.1 - - 1287868384 + 1293174336 PRG_SL1K2_EXIT.fbGige @@ -102367,7 +102641,7 @@ M1K1 BEND US ENC CNT .bGigePower := TIIB[EL2004_SL1K2]^Channel 3^Output - 1287868416 + 1293174592 PRG_SL1K2_EXIT.fbFlowMeter @@ -102397,7 +102671,7 @@ M1K1 BEND US ENC CNT .iRaw := TIIB[EL3052_SL1K2_FWM]^AI Standard Channel 1^Value - 1287869760 + 1293175936 PRG_SL1K2_EXIT.fSmallDelta @@ -102407,7 +102681,7 @@ M1K1 BEND US ENC CNT 0.01 - 1287870272 + 1293176448 PRG_SL1K2_EXIT.fBigDelta @@ -102416,7 +102690,7 @@ M1K1 BEND US ENC CNT 10 - 1287870336 + 1293176512 PRG_SL1K2_EXIT.fMaxVelocity @@ -102425,7 +102699,7 @@ M1K1 BEND US ENC CNT 0.5 - 1287870400 + 1293176576 PRG_SL1K2_EXIT.fHighAccel @@ -102434,7 +102708,7 @@ M1K1 BEND US ENC CNT 0.8 - 1287870464 + 1293176640 PRG_SL1K2_EXIT.fLowAccel @@ -102443,25 +102717,25 @@ M1K1 BEND US ENC CNT 0.1 - 1287870528 + 1293176704 PRG_ST1K1_ZOS.fbZOS 327424 FB_MotionStage - 1287870592 + 1293176768 PRG_2_PMPS_POST.fbArbiterIO 145024 FB_SubSysToArbiter_IO - 1288199232 + 1293505408 PRG_2_PMPS_POST.fb_vetoArbiter 28352 FB_VetoArbiter - 1288344256 + 1293650432 PRG_2_PMPS_POST.ff2_ff1_link_optics @@ -102485,7 +102759,7 @@ M1K1 BEND US ENC CNT 65535 - 1288372608 + 1293678784 PRG_2_PMPS_POST.ffRIX01 @@ -102510,7 +102784,7 @@ M1K1 BEND US ENC CNT 62729 - 1288398528 + 1293704704 PRG_2_PMPS_POST.ffRIX02 @@ -102534,7 +102808,7 @@ M1K1 BEND US ENC CNT 62736 - 1288424448 + 1293730624 PRG_2_PMPS_POST.ffRIX05 @@ -102558,7 +102832,7 @@ M1K1 BEND US ENC CNT 62737 - 1288450368 + 1293756544 PRG_ZeroOrder_PMPS.ffZeroOrderBeam @@ -102583,7 +102857,39 @@ M1K1 BEND US ENC CNT 62726 - 1288476288 + 1293782464 + + + PRG_ZeroOrder_PMPS.bSafeBenderRange + 8 + BOOL + + + pytmc + + pv: @(PREFIX)SafeBenderRange + field: ZNAM FALSE + field: ONAM TRUE + + + + 1293808384 + + + PRG_ZeroOrder_PMPS.bLRG_Grating_IN + 8 + BOOL + + + pytmc + + pv: @(PREFIX)LRG_Grating_IN + field: ZNAM FALSE + field: ONAM TRUE + + + + 1293808392 PRG_ZeroOrder_PMPS.bZOS_IN @@ -102599,7 +102905,7 @@ M1K1 BEND US ENC CNT - 1288502208 + 1293808400 PRG_ZeroOrder_PMPS.bZOB_on_Lower_Stopper @@ -102615,7 +102921,7 @@ M1K1 BEND US ENC CNT - 1288502216 + 1293808408 PRG_ZeroOrder_PMPS.bMR1K1_Inserted @@ -102631,7 +102937,7 @@ M1K1 BEND US ENC CNT - 1288502224 + 1293808416 PRG_ZeroOrder_PMPS.bBeamPermitted @@ -102647,7 +102953,7 @@ M1K1 BEND US ENC CNT - 1288502232 + 1293808424 PRG_ZeroOrder_PMPS.nMachineMode @@ -102665,110 +102971,110 @@ M1K1 BEND US ENC CNT - 1288502240 + 1293808432 PRG_ZeroOrder_PMPS.Pm3 64 LREAL - 1288502272 + 1293808448 PRG_ZeroOrder_PMPS.Pm2 64 LREAL - 1288502336 + 1293808512 PRG_ZeroOrder_PMPS.Pm1 64 LREAL - 1288502400 + 1293808576 PRG_ZeroOrder_PMPS.Hm1 64 LREAL - 1288502464 + 1293808640 PRG_ZeroOrder_PMPS.HZos 64 LREAL - 1288502528 + 1293808704 PRG_ZeroOrder_PMPS.Zbm1 64 LREAL - 1288502592 + 1293808768 PRG_ZeroOrder_PMPS.Zbm2 64 LREAL - 1288502656 + 1293808832 PRG_ZeroOrder_PMPS.Zbm3 64 LREAL - 1288502720 + 1293808896 PRG_ZeroOrder_PMPS.Hbm1 64 LREAL - 1288502784 + 1293808960 PRG_ZeroOrder_PMPS.Hbm2 64 LREAL - 1288502848 + 1293809024 PRG_ZeroOrder_PMPS.Hbm3 64 LREAL - 1288502912 + 1293809088 PRG_ZeroOrder_PMPS.Hb0m3 64 LREAL - 1288502976 + 1293809152 PRG_ZeroOrder_PMPS.Hm3 fixed calc 64 LREAL - 1288503040 + 1293809216 PRG_ZeroOrder_PMPS.Hpiv 64 LREAL - 1288503104 + 1293809280 PRG_ZeroOrder_PMPS.Theta_m1 64 LREAL - 1288503168 + 1293809344 PRG_ZeroOrder_PMPS.Theta_m2 64 LREAL - 1288503232 + 1293809408 PRG_ZeroOrder_PMPS.Theta_m3 64 LREAL - 1288503296 + 1293809472 PRG_ZeroOrder_PMPS.Delta @@ -102784,13 +103090,13 @@ M1K1 BEND US ENC CNT - 1288503360 + 1293809536 PRG_ZeroOrder_PMPS.Ans 64 LREAL - 1288503424 + 1293809600 PRG_ZeroOrder_PMPS.ffZeroOrderBeamExitSlits @@ -102814,7 +103120,7 @@ M1K1 BEND US ENC CNT 62726 - 1288503488 + 1293809664 PRG_ZeroOrder_PMPS.Hi2 @@ -102824,7 +103130,7 @@ M1K1 BEND US ENC CNT 1.4 - 1288529408 + 1293835584 PRG_ZeroOrder_PMPS.Zi2 @@ -102834,7 +103140,7 @@ M1K1 BEND US ENC CNT 731.613 - 1288529472 + 1293835648 PRG_ZeroOrder_PMPS.Theta0 @@ -102843,7 +103149,7 @@ M1K1 BEND US ENC CNT 0 - 1288529536 + 1293835712 PRG_ZeroOrder_PMPS.Zm1 @@ -102853,7 +103159,7 @@ M1K1 BEND US ENC CNT 733.772 - 1288529600 + 1293835776 PRG_ZeroOrder_PMPS.Zmon @@ -102863,7 +103169,7 @@ M1K1 BEND US ENC CNT 739.622 - 1288529664 + 1293835840 PRG_ZeroOrder_PMPS.Zpiv @@ -102873,7 +103179,7 @@ M1K1 BEND US ENC CNT 739.762 - 1288529728 + 1293835904 PRG_ZeroOrder_PMPS.Zzos @@ -102883,7 +103189,7 @@ M1K1 BEND US ENC CNT 741.422 - 1288529792 + 1293835968 PRG_ZeroOrder_PMPS.Pm1Offset @@ -102892,7 +103198,7 @@ M1K1 BEND US ENC CNT 18081.1 - 1288529856 + 1293836032 PRG_ZeroOrder_PMPS.Pm2Offset @@ -102901,7 +103207,7 @@ M1K1 BEND US ENC CNT -90603 - 1288529920 + 1293836096 PRG_ZeroOrder_PMPS.Pm3Offset @@ -102911,7 +103217,7 @@ M1K1 BEND US ENC CNT -63300 - 1288529984 + 1293836160 PRG_MR2K2_FLAT.fbXRMSErrorM2K2 @@ -102925,19 +103231,19 @@ MR2K2 X ENC RMS pv: MR2K2:FLAT:ENC:X - 1288530688 + 1293836864 PRG_MR2K2_FLAT.fMaxXRMSErrorM2K2 64 LREAL - 1288918208 + 1294224384 PRG_MR2K2_FLAT.fMinXRMSErrorM2K2 64 LREAL - 1288918272 + 1294224448 PRG_MR2K2_FLAT.fbYRMSErrorM2K2 @@ -102950,19 +103256,19 @@ MR2K2 X ENC RMS pv: MR2K2:FLAT:ENC:Y - 1288918336 + 1294224512 PRG_MR2K2_FLAT.fMaxYRMSErrorM2K2 64 LREAL - 1289305856 + 1294612032 PRG_MR2K2_FLAT.fMinYRMSErrorM2K2 64 LREAL - 1289305920 + 1294612096 PRG_MR2K2_FLAT.fbrXRMSErrorM2K2 @@ -102975,19 +103281,19 @@ MR2K2 X ENC RMS pv: MR2K2:FLAT:ENC:PITCH - 1289305984 + 1294612160 PRG_MR2K2_FLAT.fMaxrXRMSErrorM2K2 64 LREAL - 1289693504 + 1294999680 PRG_MR2K2_FLAT.fMinrXRMSErrorM2K2 64 LREAL - 1289693568 + 1294999744 PRG_MR2K2_FLAT.nEncRefXM2K2 @@ -103005,7 +103311,7 @@ MR2K2 X ENC REF - 1289693632 + 1294999808 PRG_MR2K2_FLAT.nEncRefYM2K2 @@ -103022,7 +103328,7 @@ MR2K2 X ENC REF - 1289693664 + 1294999840 PRG_MR2K2_FLAT.nEncRefrXM2K2 @@ -103039,7 +103345,7 @@ MR2K2 X ENC REF - 1289693696 + 1294999872 PRG_MR2K2_FLAT.nEncCntXM2K2 @@ -103057,7 +103363,7 @@ M2K2 FLAT X ENC CNT - 1289693728 + 1294999904 PRG_MR2K2_FLAT.nEncCntYM2K2 @@ -103074,7 +103380,7 @@ M2K2 FLAT X ENC CNT - 1289693760 + 1294999936 PRG_MR2K2_FLAT.nEncCntrXM2K2 @@ -103091,7 +103397,25 @@ M2K2 FLAT X ENC CNT - 1289693792 + 1294999968 + + + PRG_MR3K2_KBH.nEncRefXM3K2 + Encoder Reference Values +MR3K2 X ENC REF + 32 + UDINT + + + pytmc + + pv: MR3K2:KBH:ENC:X:REF + field: EGU cnt + io: i + + + + 1295000032 PRG_MR2K2_FLAT.fbCoolingPanel @@ -103112,7 +103436,7 @@ M2K2 FLAT X ENC CNT - 1289693824 + 1295000064 PRG_MR3K2_KBH.fbXRMSErrorM3K2 @@ -103126,19 +103450,19 @@ MR3K2 X ENC RMS pv: MR3K2:KBH:ENC:X - 1289695616 + 1295001856 PRG_MR3K2_KBH.fMaxXRMSErrorM3K2 64 LREAL - 1290083136 + 1295389376 PRG_MR3K2_KBH.fMinXRMSErrorM3K2 64 LREAL - 1290083200 + 1295389440 PRG_MR3K2_KBH.fbYRMSErrorM3K2 @@ -103151,19 +103475,19 @@ MR3K2 X ENC RMS pv: MR3K2:KBH:ENC:Y - 1290083264 + 1295389504 PRG_MR3K2_KBH.fMaxYRMSErrorM3K2 64 LREAL - 1290470784 + 1295777024 PRG_MR3K2_KBH.fMinYRMSErrorM3K2 64 LREAL - 1290470848 + 1295777088 PRG_MR3K2_KBH.fbrYRMSErrorM3K2 @@ -103176,19 +103500,19 @@ MR3K2 X ENC RMS pv: MR3K2:KBH:ENC:PITCH - 1290470912 + 1295777152 PRG_MR3K2_KBH.fMaxrYRMSErrorM3K2 64 LREAL - 1290858432 + 1296164672 PRG_MR3K2_KBH.fMinrYRMSErrorM3K2 64 LREAL - 1290858496 + 1296164736 PRG_MR3K2_KBH.fbUSRMSErrorM3K2 @@ -103201,19 +103525,19 @@ MR3K2 X ENC RMS pv: MR3K2:KBH:ENC:BEND:US - 1290858560 + 1296164800 PRG_MR3K2_KBH.fMaxUSRMSErrorM3K2 64 LREAL - 1291246080 + 1296552320 PRG_MR3K2_KBH.fMinUSRMSErrorM3K2 64 LREAL - 1291246144 + 1296552384 PRG_MR3K2_KBH.fbdSRMSErrorM3K2 @@ -103226,37 +103550,19 @@ MR3K2 X ENC RMS pv: MR3K2:KBH:ENC:BEND:DS - 1291246208 + 1296552448 PRG_MR3K2_KBH.fMaxDSRMSErrorM3K2 64 LREAL - 1291633728 + 1296939968 PRG_MR3K2_KBH.fMinDSRMSErrorM3K2 64 LREAL - 1291633792 - - - PRG_MR3K2_KBH.nEncRefXM3K2 - Encoder Reference Values -MR3K2 X ENC REF - 32 - UDINT - - - pytmc - - pv: MR3K2:KBH:ENC:X:REF - field: EGU cnt - io: i - - - - 1291633856 + 1296940032 PRG_MR3K2_KBH.nEncRefYM3K2 @@ -103273,7 +103579,7 @@ MR3K2 X ENC REF - 1291633888 + 1296940096 PRG_MR3K2_KBH.nEncRefrYM3K2 @@ -103290,7 +103596,7 @@ MR3K2 X ENC REF - 1291633920 + 1296940128 PRG_MR3K2_KBH.nEncRefUSM3K2 @@ -103307,7 +103613,7 @@ MR3K2 X ENC REF - 1291633952 + 1296940160 PRG_MR3K2_KBH.nEncRefDSM3K2 @@ -103324,7 +103630,7 @@ MR3K2 X ENC REF - 1291633984 + 1296940192 PRG_MR3K2_KBH.nEncCntXM3K2 @@ -103342,7 +103648,7 @@ M3K2 KBH X ENC CNT - 1291634016 + 1296940224 PRG_MR3K2_KBH.nEncCntYM3K2 @@ -103359,7 +103665,7 @@ M3K2 KBH X ENC CNT - 1291634048 + 1296940256 PRG_MR3K2_KBH.nEncCntrYM3K2 @@ -103376,7 +103682,7 @@ M3K2 KBH X ENC CNT - 1291634080 + 1296940288 PRG_MR3K2_KBH.nEncCntUSM3K2 @@ -103393,7 +103699,7 @@ M3K2 KBH X ENC CNT - 1291634112 + 1296940320 PRG_MR3K2_KBH.nEncCntDSM3K2 @@ -103410,7 +103716,7 @@ M3K2 KBH X ENC CNT - 1291634144 + 1296940352 PRG_MR3K2_KBH.fM3K2US_RTD_1 @@ -103429,7 +103735,7 @@ M3K2 KBH X ENC CNT - 1291634176 + 1296940384 PRG_MR3K2_KBH.fM3K2US_RTD_2 @@ -103446,7 +103752,7 @@ M3K2 KBH X ENC CNT - 1291634208 + 1296940416 PRG_MR3K2_KBH.fM3K2US_RTD_3 @@ -103463,7 +103769,7 @@ M3K2 KBH X ENC CNT - 1291634240 + 1296940448 PRG_MR3K2_KBH.fM3K2DS_RTD_1 @@ -103481,7 +103787,7 @@ M3K2 KBH X ENC CNT - 1291634272 + 1296940480 PRG_MR3K2_KBH.fM3K2DS_RTD_2 @@ -103498,7 +103804,7 @@ M3K2 KBH X ENC CNT - 1291634304 + 1296940512 PRG_MR3K2_KBH.fM3K2DS_RTD_3 @@ -103515,7 +103821,25 @@ M3K2 KBH X ENC CNT - 1291634336 + 1296940544 + + + PRG_MR4K2_KBV.nEncRefXM4K2 + Encoder Reference Values +MR4K2 X ENC REF + 32 + UDINT + + + pytmc + + pv: MR4K2:KBV:ENC:X:REF + field: EGU cnt + io: i + + + + 1296940640 PRG_MR3K2_KBH.fbCoolingPanel @@ -103536,7 +103860,7 @@ M3K2 KBH X ENC CNT - 1291634432 + 1296940672 PRG_MR4K2_KBV.fbXRMSErrorM4K2 @@ -103550,19 +103874,19 @@ MR4K2 X ENC RMS pv: MR4K2:KBV:ENC:X - 1291635648 + 1296941888 PRG_MR4K2_KBV.fMaxXRMSErrorM4K2 64 LREAL - 1292023168 + 1297329408 PRG_MR4K2_KBV.fMinXRMSErrorM4K2 64 LREAL - 1292023232 + 1297329472 PRG_MR4K2_KBV.fbYRMSErrorM4K2 @@ -103575,19 +103899,19 @@ MR4K2 X ENC RMS pv: MR4K2:KBV:ENC:Y - 1292023296 + 1297329536 PRG_MR4K2_KBV.fMaxYRMSErrorM4K2 64 LREAL - 1292410816 + 1297717056 PRG_MR4K2_KBV.fMinYRMSErrorM4K2 64 LREAL - 1292410880 + 1297717120 PRG_MR4K2_KBV.fbrXRMSErrorM4K2 @@ -103600,19 +103924,19 @@ MR4K2 X ENC RMS pv: MR4K2:KBV:ENC:PITCH - 1292410944 + 1297717184 PRG_MR4K2_KBV.fMaxrXRMSErrorM4K2 64 LREAL - 1292798464 + 1298104704 PRG_MR4K2_KBV.fMinrXRMSErrorM4K2 64 LREAL - 1292798528 + 1298104768 PRG_MR4K2_KBV.fbUSRMSErrorM4K2 @@ -103625,19 +103949,19 @@ MR4K2 X ENC RMS pv: MR4K2:KBV:ENC:BEND:US - 1292798592 + 1298104832 PRG_MR4K2_KBV.fMaxUSRMSErrorM4K2 64 LREAL - 1293186112 + 1298492352 PRG_MR4K2_KBV.fMinUSRMSErrorM4K2 64 LREAL - 1293186176 + 1298492416 PRG_MR4K2_KBV.fbdSRMSErrorM4K2 @@ -103650,37 +103974,19 @@ MR4K2 X ENC RMS pv: MR4K2:KBV:ENC:BEND:DS - 1293186240 + 1298492480 PRG_MR4K2_KBV.fMaxDSRMSErrorM4K2 64 LREAL - 1293573760 + 1298880000 PRG_MR4K2_KBV.fMinDSRMSErrorM4K2 64 LREAL - 1293573824 - - - PRG_MR4K2_KBV.nEncRefXM4K2 - Encoder Reference Values -MR4K2 X ENC REF - 32 - UDINT - - - pytmc - - pv: MR4K2:KBV:ENC:X:REF - field: EGU cnt - io: i - - - - 1293573888 + 1298880064 PRG_MR4K2_KBV.nEncRefYM4K2 @@ -103697,7 +104003,7 @@ MR4K2 X ENC REF - 1293573920 + 1298880128 PRG_MR4K2_KBV.nEncRefrXM4K2 @@ -103714,7 +104020,7 @@ MR4K2 X ENC REF - 1293573952 + 1298880160 PRG_MR4K2_KBV.nEncRefUSM4K2 @@ -103731,7 +104037,7 @@ MR4K2 X ENC REF - 1293573984 + 1298880192 PRG_MR4K2_KBV.nEncRefDSM4K2 @@ -103748,7 +104054,7 @@ MR4K2 X ENC REF - 1293574016 + 1298880224 PRG_MR4K2_KBV.nEncCntXM4K2 @@ -103766,7 +104072,7 @@ M4K2 KBV X ENC CNT - 1293574048 + 1298880256 PRG_MR4K2_KBV.nEncCntYM4K2 @@ -103783,7 +104089,7 @@ M4K2 KBV X ENC CNT - 1293574080 + 1298880288 PRG_MR4K2_KBV.nEncCntrXM4K2 @@ -103800,7 +104106,7 @@ M4K2 KBV X ENC CNT - 1293574112 + 1298880320 PRG_MR4K2_KBV.nEncCntUSM4K2 @@ -103817,7 +104123,7 @@ M4K2 KBV X ENC CNT - 1293574144 + 1298880352 PRG_MR4K2_KBV.nEncCntDSM4K2 @@ -103834,7 +104140,7 @@ M4K2 KBV X ENC CNT - 1293574176 + 1298880384 PRG_MR4K2_KBV.fM4K2US_RTD_1 @@ -103853,7 +104159,7 @@ M4K2 KBV X ENC CNT - 1293574208 + 1298880416 PRG_MR4K2_KBV.fM4K2US_RTD_2 @@ -103870,7 +104176,7 @@ M4K2 KBV X ENC CNT - 1293574240 + 1298880448 PRG_MR4K2_KBV.fM4K2US_RTD_3 @@ -103887,7 +104193,7 @@ M4K2 KBV X ENC CNT - 1293574272 + 1298880480 PRG_MR4K2_KBV.fM4K2DS_RTD_1 @@ -103905,7 +104211,7 @@ M4K2 KBV X ENC CNT - 1293574304 + 1298880512 PRG_MR4K2_KBV.fM4K2DS_RTD_2 @@ -103922,7 +104228,7 @@ M4K2 KBV X ENC CNT - 1293574336 + 1298880544 PRG_MR4K2_KBV.fM4K2DS_RTD_3 @@ -103939,7 +104245,7 @@ M4K2 KBV X ENC CNT - 1293574368 + 1298880576 PRG_MR4K2_KBV.nM4K2_Chin_Right_RTD @@ -103962,7 +104268,7 @@ M4K2 KBV X ENC CNT - 1293574400 + 1298880640 PRG_MR4K2_KBV.nM4K2_Chin_Left_RTD @@ -103985,7 +104291,7 @@ M4K2 KBV X ENC CNT - 1293574656 + 1298880896 PRG_MR4K2_KBV.fbCoolingPanel @@ -104006,7 +104312,7 @@ M4K2 KBV X ENC CNT - 1293574976 + 1298881216 GVL_M1K1.M1K1_Pitch @@ -104041,7 +104347,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1293581248 + 1298887488 GVL_M1K1_BENDER_Constants.nM1K1bendUS_ENC_REF @@ -104056,7 +104362,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1293583744 + 1298889984 GVL_M1K1_BENDER_Constants.nM1K1bendDS_ENC_REF @@ -104070,7 +104376,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1293583808 + 1298890048 GVL_M1K1_BENDER_Constants.nM1K1bendUS_PMPS_UpperLimit @@ -104085,7 +104391,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1293583872 + 1298890112 GVL_M1K1_BENDER_Constants.nM1K1bendUS_PMPS_LowerLimit @@ -104100,7 +104406,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1293583936 + 1298890176 GVL_M1K1_BENDER_Constants.nM1K1bendDS_PMPS_UpperLimit @@ -104115,7 +104421,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1293584000 + 1298890240 GVL_M1K1_BENDER_Constants.nM1K1bendDS_PMPS_LowerLimit @@ -104130,7 +104436,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1293584064 + 1298890304 GVL_M1K1_Constants.nYUP_ENC_REF @@ -104146,7 +104452,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1293584192 + 1298890432 GVL_M1K1_Constants.nYDWN_ENC_REF @@ -104160,7 +104466,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1293584256 + 1298890496 GVL_M1K1_Constants.nXUP_ENC_REF @@ -104174,7 +104480,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1293584320 + 1298890560 GVL_M1K1_Constants.nXDWN_ENC_REF @@ -104188,7 +104494,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1293584384 + 1298890624 GVL_M2K2.nM2K2X_ENC_REF @@ -104203,7 +104509,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1293586944 + 1298893184 GVL_M2K2.nM2K2Y_ENC_REF @@ -104218,7 +104524,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1293587008 + 1298893248 GVL_M2K2.nM2K2rX_ENC_REF @@ -104233,7 +104539,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1293587072 + 1298893312 GVL_M3K2.nM3K2X_ENC_REF @@ -104249,7 +104555,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1293587136 + 1298893376 GVL_M3K2.nM3K2Y_ENC_REF @@ -104263,7 +104569,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1293587200 + 1298893440 GVL_M3K2.nM3K2rY_ENC_REF @@ -104277,7 +104583,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1293587264 + 1298893504 GVL_M3K2.nM3K2US_ENC_REF @@ -104292,7 +104598,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1293587328 + 1298893568 GVL_M3K2.nM3K2DS_ENC_REF @@ -104307,7 +104613,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1293587392 + 1298893632 GVL_M4K2.nM4K2X_ENC_REF @@ -104323,7 +104629,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1293587584 + 1298893760 GVL_M4K2.nM4K2Y_ENC_REF @@ -104337,7 +104643,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1293587648 + 1298893824 GVL_M4K2.nM4K2rX_ENC_REF @@ -104351,7 +104657,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1293587712 + 1298893888 GVL_M4K2.nM4K2US_ENC_REF @@ -104366,7 +104672,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1293587776 + 1298893952 GVL_M4K2.nM4K2DS_ENC_REF @@ -104381,7 +104687,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1293587840 + 1298894016 GVL_M4K2.nM4K2US_PMPS_UpperLimit @@ -104396,7 +104702,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1293587904 + 1298894080 GVL_M4K2.nM4K2US_PMPS_LowerLimit @@ -104411,7 +104717,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1293587968 + 1298894144 GVL_M4K2.nM4K2DS_PMPS_UpperLimit @@ -104426,7 +104732,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1293588032 + 1298894208 GVL_M4K2.nM4K2DS_PMPS_LowerLimit @@ -104441,7 +104747,22 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1293588096 + 1298894272 + + + GVL_M1K2_Constants.fRollLeverArm_um + lever arm for Yright/Yleft axes in um + 32 + REAL + + 717000 + + + + TcVarGlobal + + + 1298894432 GVL_M1K2_Constants.nYLEFT_ENC_REF @@ -104457,7 +104778,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1293588224 + 1298894464 GVL_M1K2_Constants.nYRIGHT_ENC_REF @@ -104471,7 +104792,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1293588288 + 1298894528 GVL_M1K2_Constants.nXUP_ENC_REF @@ -104485,7 +104806,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1293588352 + 1298894592 GVL_M1K2_Constants.nXDWN_ENC_REF @@ -104499,33 +104820,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1293588416 - - - GVL_M1K2_Constants.fRollLeverArm_um - lever arm for Yright/Yleft axes in um - 32 - REAL - - 717000 - - - - TcVarGlobal - - - 1293588480 - - - GVL_PMPS.rPhotonEnergy - 32 - REAL - - - TcVarGlobal - - - 1293588512 + 1298894656 GVL_PMPS.fbArbiter1 @@ -104543,7 +104838,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1293588544 + 1298894720 GVL_PMPS.fbArbiter2 @@ -104561,7 +104856,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1294084288 + 1299390464 GVL_PMPS.fbFastFaultOutput1 @@ -104590,7 +104885,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1294580032 + 1299886208 GVL_PMPS.fbFastFaultOutput2 @@ -104619,7 +104914,29 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1295104384 + 1300410560 + + + GVL_PMPS.rPhotonEnergy + 32 + REAL + + + TcVarGlobal + + + 1300934912 + + + Main.dummyBool + 8 + BOOL + + + TcVarGlobal + + + 1300935344 GVL_States.stDefaultOffsetY @@ -104660,7 +104977,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1295629120 + 1300935360 GVL_States.stDefaultOffsetX @@ -104701,7 +105018,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1295632768 + 1300939008 GVL_States.stDefaultKBX @@ -104742,7 +105059,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1295636416 + 1300942656 GVL_States.stDefaultKBY @@ -104783,7 +105100,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1295640064 + 1300946304 Main.M1 @@ -104821,7 +105138,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1295643712 + 1300949952 Main.fbMotionStage_m1 @@ -104832,7 +105149,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1295669632 + 1300975872 Main.M2 @@ -104870,7 +105187,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1295997056 + 1301303296 Main.fbMotionStage_m2 @@ -104881,7 +105198,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1296022976 + 1301329216 Main.M3 @@ -104919,7 +105236,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1296350400 + 1301656640 Main.fbMotionStage_m3 @@ -104930,7 +105247,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1296376320 + 1301682560 Main.M4 @@ -104968,7 +105285,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1296703744 + 1302009984 Main.fbMotionStage_m4 @@ -104979,7 +105296,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1296729664 + 1302035904 Main.M5 @@ -105016,7 +105333,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1297057088 + 1302363328 Main.M6 @@ -105054,7 +105371,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1297083008 + 1302389248 Main.M8 @@ -105092,7 +105409,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1297134848 + 1302441088 Main.M9 @@ -105130,7 +105447,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1297160768 + 1302467008 Main.M10 @@ -105167,7 +105484,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1297186688 + 1302492928 Main.M11 @@ -105199,7 +105516,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1297212608 + 1302518848 Main.M12 @@ -105237,7 +105554,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1297238528 + 1302544768 Main.fbMotionStage_m12 @@ -105248,7 +105565,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1297264448 + 1302570688 Main.M13 @@ -105285,7 +105602,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1297591872 + 1302898112 Main.fbMotionStage_m13 @@ -105296,7 +105613,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1297617792 + 1302924032 Main.M14 @@ -105334,7 +105651,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1297945216 + 1303251456 Main.fbMotionStage_m14 @@ -105345,7 +105662,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1297971136 + 1303277376 Main.M15 @@ -105382,7 +105699,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1298298560 + 1303604800 Main.fbMotionStage_m15 @@ -105393,7 +105710,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1298324480 + 1303630720 Main.M16 @@ -105431,7 +105748,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1298651904 + 1303958144 Main.M17 @@ -105464,7 +105781,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1298677824 + 1303984064 Main.fbMotionStage_m17 @@ -105475,7 +105792,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1298703744 + 1304009984 Main.M18 @@ -105509,7 +105826,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1299031168 + 1304337408 Main.fbMotionStage_m18 @@ -105520,7 +105837,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1299057088 + 1304363328 Main.M19 @@ -105554,7 +105871,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1299384512 + 1304690752 Main.M20 @@ -105588,7 +105905,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1299410432 + 1304716672 Main.M21 @@ -105622,7 +105939,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1299436352 + 1304742592 Main.M22 @@ -105656,7 +105973,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1299462272 + 1304768512 Main.M23 @@ -105690,7 +106007,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1299488192 + 1304794432 Main.M24 @@ -105719,7 +106036,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1299514112 + 1304820352 Main.M25 @@ -105751,7 +106068,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1299540032 + 1304846272 Main.fbMotionStageM25 @@ -105762,7 +106079,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1299565952 + 1304872192 Main.M26 @@ -105794,7 +106111,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1299893376 + 1305199616 Main.fbMotionStageM26 @@ -105805,7 +106122,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1299919296 + 1305225536 Main.M27 @@ -105837,7 +106154,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1300246720 + 1305552960 Main.fbMotionStageM27 @@ -105848,7 +106165,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1300272640 + 1305578880 Main.M28 @@ -105880,7 +106197,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1300600064 + 1305906304 Main.fbMotionStageM28 @@ -105891,7 +106208,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1300625984 + 1305932224 Main.M29 @@ -105923,7 +106240,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1300953408 + 1306259648 Main.fbMotionStageM29 @@ -105934,7 +106251,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1300979328 + 1306285568 Main.M30 @@ -105966,7 +106283,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1301306752 + 1306612992 Main.fbMotionStageM30 @@ -105977,7 +106294,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1301332672 + 1306638912 Main.M31 @@ -106009,7 +106326,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1301660096 + 1306966336 Main.fbMotionStageM31 @@ -106020,7 +106337,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1301686016 + 1306992256 Main.M32 @@ -106052,7 +106369,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1302013440 + 1307319680 Main.fbMotionStageM32 @@ -106063,7 +106380,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1302039360 + 1307345600 Main.M33 @@ -106095,7 +106412,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1302366784 + 1307673024 Main.fbMotionStageM33 @@ -106106,7 +106423,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1302392704 + 1307698944 Main.M34 @@ -106138,7 +106455,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1302720128 + 1308026368 Main.fbMotionStageM34 @@ -106149,7 +106466,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1302746048 + 1308052288 Main.M35 @@ -106181,7 +106498,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1303073472 + 1308379712 Main.fbMotionStageM35 @@ -106192,7 +106509,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1303099392 + 1308405632 Main.M36 @@ -106224,7 +106541,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1303426816 + 1308733056 Main.fbMotionStageM36 @@ -106235,7 +106552,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1303452736 + 1308758976 Main.M37 @@ -106267,7 +106584,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1303780160 + 1309086400 Main.fbMotionStageM37 @@ -106278,18 +106595,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1303806080 - - - Main.dummyBool - 8 - BOOL - - - TcVarGlobal - - - 1304133536 + 1309112320 Constants.bLittleEndian @@ -106304,7 +106610,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1304133552 + 1309439744 Constants.bSimulationMode @@ -106319,7 +106625,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1304133560 + 1309439752 Constants.RuntimeVersion @@ -106349,7 +106655,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1304133568 + 1309439760 Constants.CompilerVersion @@ -106379,7 +106685,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1304133632 + 1309439824 Constants.nRegisterSize @@ -106394,7 +106700,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1304133696 + 1309439888 Constants.nPackMode @@ -106409,7 +106715,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1304133712 + 1309439904 Constants.bFPUSupport @@ -106424,7 +106730,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1304133728 + 1309439920 Constants.bMulticoreSupport @@ -106438,7 +106744,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1304133736 + 1309439928 Constants.RuntimeVersionNumeric @@ -106453,7 +106759,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1304133760 + 1309439936 Constants.CompilerVersionNumeric @@ -106468,7 +106774,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1304133792 + 1309439968 TwinCAT_LicenseInfoVarList._LicenseInfo @@ -106589,7 +106895,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1304133824 + 1309440000 TwinCAT_SystemInfoVarList._TaskPouOid_PlcTask @@ -106603,7 +106909,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1304143296 + 1309449472 TwinCAT_SystemInfoVarList._TaskOid_PlcTask @@ -106617,7 +106923,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1304143328 + 1309449504 TwinCAT_SystemInfoVarList.__PlcTask @@ -106638,7 +106944,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1304146944 + 1309453120 TC_EVENT_CLASSES.TcSystemEventClass @@ -106710,7 +107016,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1304164864 + 1309471040 TC_EVENT_CLASSES.TcGeneralAdsEventClass @@ -106782,7 +107088,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1304164992 + 1309471168 TC_EVENT_CLASSES.TcRouterEventClass @@ -106854,7 +107160,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1304165120 + 1309471296 TC_EVENT_CLASSES.TcRTimeEventClass @@ -106926,7 +107232,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1304165248 + 1309471424 TC_EVENT_CLASSES.Win32EventClass @@ -106998,7 +107304,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1304165376 + 1309471552 TC_EVENT_CLASSES.LCLSGeneralEventClass @@ -107070,74 +107376,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1304165504 - - - TC_EVENTS.LCLSGeneralEventClass - ST_LCLSGeneralEventClass - 960 - ST_LCLSGeneralEventClass - - - tc_no_symbol - unused - - - const_non_replaced - - - init_on_onlchange - - - suppress_warning_0 - C0228 - - - TcVarGlobal - - - 1304198528 - - - PRG_MR1K2_SWITCH.eStateSet - 16 - E_B4C_Rh_CoatingStates - - - pytmc - - pv: MR1K2:SWITCH:COATING:STATE:SET - io: io - - - - 1304232480 - - - PRG_MR1K2_SWITCH.eStateGet - 16 - E_B4C_Rh_CoatingStates - - - pytmc - - pv: MR1K2:SWITCH:COATING:STATE:GET - io: i - - - - 1304232496 - - - FB_DynMem_Manager.nInstanceCreations - 16 - UINT - - - TcVarStatic - - - 1309482960 + 1309471680 TC_EVENT_CLASSES.TcIPCDiagEventClass @@ -107209,7 +107448,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1310898048 + 1309471808 TC_EVENT_CLASSES.TcIPCDiagPlcApiEventClass @@ -107281,279 +107520,40 @@ M4K2 KBV X ENC CNT TcVarGlobal - 1310900352 + 1309471936 - Global_Version.stLibVersion_Tc3_IPCDiag - 288 - ST_LibVersion - - - .iMajor - 1 - - - .iMinor - 0 - - - .iBuild - 12 - - - .iRevision - 0 - - - .nFlags - 1 - - - .sVersion - 1.0.12.0 - - + TC_EVENTS.LCLSGeneralEventClass + ST_LCLSGeneralEventClass + 960 + ST_LCLSGeneralEventClass - const_non_replaced - - - TcVarGlobal + tc_no_symbol + unused - - 1311509504 - - - Global_Version.stLibVersion_Tc3_DynamicMemory - 288 - ST_LibVersion - - - .iMajor - 1 - - - .iMinor - 0 - - - .iBuild - 2 - - - .iRevision - 0 - - - .nFlags - 0 - - - .sVersion - 1.0.2.0 - - - const_non_replaced - TcVarGlobal - - - 1311618688 - - - PRG_SP1K1_MONO.eStateSet - 16 - E_Grating_States - - - pytmc - - pv: SP1K1:MONO:GRATING:STATE:SET - io: io - - - - 1311619024 - - - PRG_SP1K1_MONO.eStateGet - 16 - E_Grating_States - - - pytmc - - pv: SP1K1:MONO:GRATING:STATE:GET - io: i - + init_on_onlchange - - 1311619040 - - - MOTION_GVL.fbStandardPMPSDB - Global DB handler, Must be called in PLC project to use the PMPS DB for a motion project - 98368 - FB_Standard_PMPSDB - - pytmc - - pv: @(PREFIX)DB - io: io - + suppress_warning_0 + C0228 TcVarGlobal - 1311930688 - - - PRG_MR1K1_BEND.fbYSetup - 92352 - FB_StateSetupHelper - 1312414272 - - - PRG_MR1K1_BEND.fbXSetup - 92352 - FB_StateSetupHelper - 1312506624 - - - PRG_MR1K1_BEND.astCoatingStatesY - 54720 - ST_PositionState - - 1 - 15 - - 1312598976 - - - PRG_MR1K1_BEND.astCoatingStatesX - 54720 - ST_PositionState - - 1 - 15 - - 1312653696 - - - PRG_MR1K2_SWITCH.fbYSetup - 92352 - FB_StateSetupHelper - 1313955392 - - - PRG_MR1K2_SWITCH.astCoatingStatesY - 54720 - ST_PositionState - - 1 - 15 - - 1314047744 - - - PRG_SP1K1_MONO.stDefaultGH - 3648 - ST_PositionState - - - .fDelta - 2000 - - - .fVelocity - 875 - - - .fAccel - 6923 - - - .fDecel - 6923 - - - .bMoveOk - true - - - .bValid - true - - - .bUseRawCounts - true - - - 1314102464 - - - PRG_SP1K1_MONO.fbGHSetup - 92352 - FB_StateSetupHelper - 1315647232 - - - PRG_SP1K1_MONO.astGratingStates - 54720 - ST_PositionState - - 1 - 15 - - 1315739584 - - - PRG_MR1K1_BEND.fbCoatingStates - 1541440 - FB_PositionStatePMPS2D - - - pytmc - pv: MR1K1:BEND:COATING - - - 1316060416 - - - PRG_MR1K2_SWITCH.fbCoatingStates - 1541312 - FB_PositionStatePMPS1D - - - pytmc - pv: MR1K2:SWITCH:COATING - - - 1317959040 - - - PRG_SP1K1_MONO.fbGratingStates - 1541312 - FB_PositionStatePMPS1D - - - pytmc - pv: SP1K1:MONO:GRATING - - - 1319500352 + 1309504960 68 PlcTask Retains 4 - 165216256 + 164888576 PMPS_GVL.SuccessfulPreemption Any time BPTM applies a new BP request which is confirmed @@ -107571,7 +107571,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 634563936 + 634632160 PMPS_GVL.AccumulatedFF @@ -107590,7 +107590,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 634564032 + 634632256 PMPS_GVL.BP_jsonDoc @@ -107601,7 +107601,7 @@ M4K2 KBV X ENC CNT TcVarGlobal - 634564096 + 634632320 @@ -107639,7 +107639,7 @@ M4K2 KBV X ENC CNT ChangeDate - 2024-09-25T09:49:36 + 2024-09-25T10:44:03 GeneratedCodeSize