issues Search Results · repo:richjyoung/vscode-modern-vhdl language:TypeScript
Filter by
25 results
(81 ms)25 results
inrichjyoung/vscode-modern-vhdl (press backspace or delete to remove)Hello, The issue is the following:
I have a package with this record declared
type myRecord_t is record
a : std_logic;
b : std_logic_vector(7 downto 0);
c : std_logic_vector(7 downto 0);
end ...
jacopobelli
- 1
- Opened on Jun 21, 2021
- #40
hi. while shortcut for the brackets is enabled, and You press “ [ “ Open Square Brackets, In order to replace by “ ( “
Open Round brackets, The shortcut is working but both: “ ( “ and “ [ “ are writing... ...
MohammadNiknam17
- Opened on May 16, 2021
- #39
architecture A of x is
signal sig : std_logic;
begin
process(sig)
begin -- -- When finished typing begin, it jumps to a 0 indentation level.
-- ...
end A;
benjaminmordaunt
- 1
- Opened on Feb 2, 2021
- #35
Hello for the third time! :smile:
The current letter case of code completion hints does not always match with the letter case of actually applied hints.
In other words, the code completion hints do not ...
dominiksalvet
- 4
- Opened on Jun 22, 2020
- #32
Hello, The current library generation based on ieee prefix is pretty weak and inflexible. It would be great if it could
respect either vhdl.suggestLibraryCase settings or current typing case - e.g., writing ...
dominiksalvet
- 7
- Opened on Jun 22, 2020
- #31
Hello @richjyoung! :wave:
First, thank you for developing this vscode extension! :heart: It seems to be the best vscode VHDL extension to date!
:+1:
Now, I would really appreciate adding the support ...
dominiksalvet
- 1
- Opened on Jun 22, 2020
- #30
Something similar happens during process definition. If i write a statement like
ProcessName: process(Clk)
If I write begin after newline, the indent is automatically decreased by one.
Originally posted ...
help wanted
language-config
richjyoung
- 3
- Opened on Feb 2, 2020
- #28
bug_problems_with_character_literals_in_enumeration
Again, the github grammar is not much better here, although it is less visible due to the poor contrast (look closely at
the Y ):
architecture arch ...
pvanschendel
- 1
- Opened on Feb 2, 2020
- #26
The signal name not displayed properly, but also, the process block is never terminated, resulting in follow up errors:
bug_names_with_process_begin_process_block
The textmate grammar used by Github ...
pvanschendel
- 1
- Opened on Feb 2, 2020
- #25

Learn how you can use GitHub Issues to plan and track your work.
Save views for sprints, backlogs, teams, or releases. Rank, sort, and filter issues to suit the occasion. The possibilities are endless.Learn more about GitHub IssuesProTip!
Press the /
key to activate the search input again and adjust your query.
Learn how you can use GitHub Issues to plan and track your work.
Save views for sprints, backlogs, teams, or releases. Rank, sort, and filter issues to suit the occasion. The possibilities are endless.Learn more about GitHub IssuesProTip!
Press the /
key to activate the search input again and adjust your query.