diff --git a/ivtest/regress-vlog95.list b/ivtest/regress-vlog95.list index bc1ea261d..34d34443f 100644 --- a/ivtest/regress-vlog95.list +++ b/ivtest/regress-vlog95.list @@ -777,6 +777,11 @@ dffsynth7 CE,-S ivltests dffsynth11 CE,-S ivltests sqrt32synth CE,-S ivltests +# The translator doesn't currently support incomplete for statements and +# doesn't yet check for this. +br_gh801 TE,-g2012,-pallowsigned=1 ivltests +br_gh801b TE,-g2012,-pallowsigned=1 ivltests + # The converter generates a complex expression for $strobe and Icarus does not # currently support this. The translation is correct. pr1830834 EF ivltests @@ -853,8 +858,6 @@ br_gh386d normal,-g2009,-pallowsigned=1 ivltests br_gh477 normal,-g2009,-pallowsigned=1 ivltests br_gh540 normal,-g2009,-pallowsigned=1 ivltests br_gh793 normal,-pallowsigned=1 ivltests -br_gh801 normal,-g2009,-pallowsigned=1 ivltests -br_gh801b normal,-g2009,-pallowsigned=1 ivltests ca_mult normal,-pallowsigned=1 ivltests gold=ca_mult.gold cast_int normal,-pallowsigned=1 ivltests cfunc_assign_op_vec normal,-g2009,-pallowsigned=1 ivltests