From c30e26183e445717d38d59cd14f7128343fc7725 Mon Sep 17 00:00:00 2001 From: Nils Wistoff Date: Thu, 9 Jul 2020 14:51:59 +0200 Subject: [PATCH] modelsim: fix simulation flow --- Makefile | 16 +++++++++++----- tb/ariane_tb.sv | 2 +- 2 files changed, 12 insertions(+), 6 deletions(-) diff --git a/Makefile b/Makefile index 48269dde4f..ba766c9e03 100644 --- a/Makefile +++ b/Makefile @@ -101,13 +101,18 @@ util := $(addprefix $(root-dir), $(util)) # Test packages test_pkg := $(wildcard tb/test/*/*sequence_pkg.sv*) \ $(wildcard tb/test/*/*_pkg.sv*) + # DPI -dpi_list := $(patsubst tb/dpi/%.cc, ${dpi-library}/%.o, $(wildcard tb/dpi/*.cc)) +dpi := $(patsubst tb/dpi/%.cc, ${dpi-library}/%.o, $(wildcard tb/dpi/*.cc)) + # filter spike stuff if tandem is not activated ifndef spike-tandem - dpi = $(filter-out ${dpi-library}/spike.o ${dpi-library}/sim_spike.o, $(dpi_list)) -else - dpi = $(dpi_list) + dpi := $(filter-out ${dpi-library}/spike.o ${dpi-library}/sim_spike.o, $(dpi)) +endif + +# filter dromajo stuff if dromajo is not activated +ifndef DROMAJO + dpi := $(filter-out ${dpi-library}/dromajo_cosim_dpi.o, $(dpi)) endif dpi_hdr := $(wildcard tb/dpi/*.h) @@ -160,7 +165,7 @@ src := $(filter-out src/ariane_regfile.sv, $(wildcard src/*.sv)) \ src/common_cells/src/rstgen.sv \ src/common_cells/src/stream_mux.sv \ src/common_cells/src/stream_demux.sv \ - src/common_cells/src/exp_backoff.sv \ + src/common_cells/src/exp_backoff.sv \ src/util/axi_master_connect.sv \ src/util/axi_slave_connect.sv \ src/util/axi_master_connect_rev.sv \ @@ -188,6 +193,7 @@ src := $(filter-out src/ariane_regfile.sv, $(wildcard src/*.sv)) \ src/common_cells/src/stream_delay.sv \ src/common_cells/src/lfsr_8bit.sv \ src/common_cells/src/lfsr_16bit.sv \ + src/common_cells/src/delta_counter.sv \ src/common_cells/src/counter.sv \ src/common_cells/src/shift_reg.sv \ src/tech_cells_generic/src/pulp_clock_gating.sv \ diff --git a/tb/ariane_tb.sv b/tb/ariane_tb.sv index c1be368023..eb28075484 100644 --- a/tb/ariane_tb.sv +++ b/tb/ariane_tb.sv @@ -19,7 +19,7 @@ import uvm_pkg::*; `include "uvm_macros.svh" -`define MAIN_MEM(P) dut.i_sram.genblk1[0].i_ram.Mem_DP[(``P``)] +`define MAIN_MEM(P) dut.i_sram.genblk1[0].genblk1.i_ram.Mem_DP[(``P``)] import "DPI-C" function read_elf(input string filename); import "DPI-C" function byte get_section(output longint address, output longint len);