Skip to content

Commit

Permalink
Update lab_02.tb_alu.sv
Browse files Browse the repository at this point in the history
  • Loading branch information
HepoH3 authored Sep 9, 2024
1 parent e966594 commit 8396bb5
Showing 1 changed file with 1 addition and 1 deletion.
2 changes: 1 addition & 1 deletion Labs/02. Arithmetic-logic unit/lab_02.tb_alu.sv
Original file line number Diff line number Diff line change
Expand Up @@ -64,7 +64,7 @@ initial
$display("\nTest has been finished\nNumber of errors: %d\n", err_cnt);
$finish();
#5;
$display("You're trying to run simulation that has finished. Aborting simulation.")
$display("You're trying to run simulation that has finished. Aborting simulation.");
$fatal();
end

Expand Down

0 comments on commit 8396bb5

Please sign in to comment.