Skip to content

Commit

Permalink
Merge from upstream
Browse files Browse the repository at this point in the history
  • Loading branch information
akashlevy committed Feb 12, 2025
2 parents 1bd2148 + e7e80ca commit de23602
Show file tree
Hide file tree
Showing 6 changed files with 25 additions and 6 deletions.
2 changes: 2 additions & 0 deletions README.md
Original file line number Diff line number Diff line change
Expand Up @@ -210,11 +210,13 @@ Use Homebrew to install them.

Set these variables before using cmake to cirumvent the Xcode versions.

```
# flex/bison override apple version
export PATH="$(brew --prefix bison)/bin:${PATH}"
export PATH="$(brew --prefix flex)/bin:${PATH}"
export CMAKE_INCLUDE_PATH="$(brew --prefix flex)/include"
export CMAKE_LIBRARY_PATH="$(brew --prefix flex)/lib;$(brew --prefix bison)/lib"
```

Homebrew does not support tclreadline, but the macports system does
(see https://www.macports.org).
Expand Down
2 changes: 1 addition & 1 deletion parasitics/SpefReader.cc
Original file line number Diff line number Diff line change
Expand Up @@ -502,7 +502,7 @@ SpefReader::findParasiticNode(char *name,
warn(1656, "pin %s not found.", name1);
}
else
warn(1656, "pin %s not found.", name);
warn(1657, "pin %s not found.", name);
}
}
return nullptr;
Expand Down
10 changes: 5 additions & 5 deletions sdc/Sdc.i
Original file line number Diff line number Diff line change
Expand Up @@ -1324,12 +1324,12 @@ filter_timing_arcs(const char *property,
////////////////////////////////////////////////////////////////

StringSeq
get_path_groups()
path_group_names()
{
StringSeq path_groups;
for (auto gp : Sta::sta()->sdc()->groupPaths())
path_groups.push_back(gp.first);
return path_groups;
StringSeq pg_names;
for (auto const& [name, group] : Sta::sta()->sdc()->groupPaths())
pg_names.push_back(name);
return pg_names;
}

////////////////////////////////////////////////////////////////
Expand Down
2 changes: 2 additions & 0 deletions test/path_group_names.ok
Original file line number Diff line number Diff line change
@@ -0,0 +1,2 @@
Initial path groups:
Final path groups: In2Out In2Reg Reg2Out Reg2Reg
14 changes: 14 additions & 0 deletions test/path_group_names.tcl
Original file line number Diff line number Diff line change
@@ -0,0 +1,14 @@
# path_group_names

read_liberty asap7_small.lib.gz
read_verilog reg1_asap7.v
link_design top
create_clock -name clk -period 500 {clk1 clk2 clk3}
set_input_delay -clock clk 0 [all_inputs -no_clocks]
set_output_delay -clock clk 0 [all_outputs]
puts "Initial path groups: [sta::path_group_names]"
group_path -name In2Reg -from [all_inputs] -to [all_registers -data_pins]
group_path -name In2Out -from [all_inputs] -to [all_outputs]
group_path -name Reg2Out -from [all_registers -clock_pins] -to [all_outputs]
group_path -name Reg2Reg -from [all_registers -clock_pins] -to [all_registers -data_pins]
puts "Final path groups: [sta::path_group_names]"
1 change: 1 addition & 0 deletions test/regression_vars.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -151,6 +151,7 @@ record_sta_tests {
liberty_ccsn
liberty_latch3
package_require
path_group_names
prima3
report_checks_src_attr
report_json1
Expand Down

0 comments on commit de23602

Please sign in to comment.