Skip to content

Commit

Permalink
chore: update action tags to tt09
Browse files Browse the repository at this point in the history
  • Loading branch information
urish committed Sep 23, 2024
1 parent 5d0967c commit 8be1cb8
Show file tree
Hide file tree
Showing 3 changed files with 6 additions and 6 deletions.
2 changes: 1 addition & 1 deletion .github/workflows/docs.yaml
Original file line number Diff line number Diff line change
Expand Up @@ -14,4 +14,4 @@ jobs:
submodules: recursive

- name: Build docs
uses: TinyTapeout/tt-gds-action/docs@tt08
uses: TinyTapeout/tt-gds-action/docs@tt09
2 changes: 1 addition & 1 deletion .github/workflows/fpga.yaml
Original file line number Diff line number Diff line change
Expand Up @@ -16,4 +16,4 @@ jobs:
submodules: recursive

- name: FPGA bitstream for TT ASIC Sim (ICE40UP5K)
uses: TinyTapeout/tt-gds-action/fpga/ice40up5k@tt08
uses: TinyTapeout/tt-gds-action/fpga/ice40up5k@tt09
8 changes: 4 additions & 4 deletions .github/workflows/gds.yaml
Original file line number Diff line number Diff line change
Expand Up @@ -14,7 +14,7 @@ jobs:
submodules: recursive

- name: Build GDS
uses: TinyTapeout/tt-gds-action@tt08
uses: TinyTapeout/tt-gds-action@tt09
with:
flow: openlane2

Expand All @@ -23,7 +23,7 @@ jobs:
runs-on: ubuntu-latest
steps:
- name: Run Tiny Tapeout Precheck
uses: TinyTapeout/tt-gds-action/precheck@tt08
uses: TinyTapeout/tt-gds-action/precheck@tt09

gl_test:
needs: gds
Expand All @@ -35,7 +35,7 @@ jobs:
submodules: recursive

- name: GL test
uses: TinyTapeout/tt-gds-action/gl_test@tt08
uses: TinyTapeout/tt-gds-action/gl_test@tt09

viewer:
needs: gds
Expand All @@ -44,4 +44,4 @@ jobs:
pages: write # to deploy to Pages
id-token: write # to verify the deployment originates from an appropriate source
steps:
- uses: TinyTapeout/tt-gds-action/viewer@tt08
- uses: TinyTapeout/tt-gds-action/viewer@tt09

0 comments on commit 8be1cb8

Please sign in to comment.