Skip to content

Commit

Permalink
mapper structure minor changes
Browse files Browse the repository at this point in the history
  • Loading branch information
krikzz committed Jan 6, 2025
1 parent 34f89c4 commit a35aeda
Show file tree
Hide file tree
Showing 36 changed files with 883 additions and 641 deletions.
Binary file added mappers/000/output_files/top.rbf
Binary file not shown.
15 changes: 14 additions & 1 deletion mappers/000/top.qsf
Original file line number Diff line number Diff line change
Expand Up @@ -244,15 +244,28 @@ set_global_assignment -name SYSTEMVERILOG_FILE map_153.sv
set_global_assignment -name SYSTEMVERILOG_FILE map_157.sv
set_global_assignment -name SYSTEMVERILOG_FILE eep24x.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/top.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/everdrive.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/map_255.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/map_nom.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/dma.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/pi.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/base_io.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/sys_cfg.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/sst.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/ggc.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/cheats.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/structs.sv
set_global_assignment -name SDC_FILE ../base_sv/clocks.sdc
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/defs.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/var.sv
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to rx
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[9]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[8]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[7]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[6]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[5]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[4]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[3]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[2]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[1]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[0]
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
Binary file modified mappers/000/top.qws
Binary file not shown.
Binary file added mappers/001/output_files/top.rbf
Binary file not shown.
15 changes: 14 additions & 1 deletion mappers/001/top.qsf
Original file line number Diff line number Diff line change
Expand Up @@ -254,15 +254,28 @@ set_global_assignment -name SYSTEMVERILOG_FILE map_184.sv
set_global_assignment -name SYSTEMVERILOG_FILE map_185.sv
set_global_assignment -name SYSTEMVERILOG_FILE map_232.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/top.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/everdrive.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/map_255.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/map_nom.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/dma.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/pi.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/base_io.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/sys_cfg.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/sst.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/ggc.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/cheats.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/structs.sv
set_global_assignment -name SDC_FILE ../base_sv/clocks.sdc
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/defs.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/var.sv
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to rx
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[9]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[8]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[7]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[6]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[5]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[4]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[3]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[2]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[1]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[0]
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
Binary file modified mappers/001/top.qws
Binary file not shown.
22 changes: 12 additions & 10 deletions mappers/004/chip_mmc1.sv
Original file line number Diff line number Diff line change
Expand Up @@ -28,6 +28,7 @@ module chip_mmc1(
sst.addr[7:0] == 2 ? reg_cx :
sst.addr[7:0] == 3 ? reg_ex :
sst.addr[7:0] == 4 ? sreg :
sst.addr[7:0] == 5 ? bit_ctr :
8'hff;


Expand Down Expand Up @@ -59,20 +60,21 @@ module chip_mmc1(
reg [2:0]bit_ctr;
reg [4:0]sreg;

always @(negedge cpu_m2, posedge rst)
if(rst)
always @(negedge cpu_m2)
if(sst.act)
begin
reg_8x <= 5'b11111;
reg_ex[4] <= 0;//enable ram by defaukt
if(sst.we_reg & sst.addr[7:0] == 0)reg_8x <= sst.dato;
if(sst.we_reg & sst.addr[7:0] == 1)reg_ax <= sst.dato;
if(sst.we_reg & sst.addr[7:0] == 2)reg_cx <= sst.dato;
if(sst.we_reg & sst.addr[7:0] == 3)reg_ex <= sst.dato;
if(sst.we_reg & sst.addr[7:0] == 4)sreg <= sst.dato;
if(sst.we_reg & sst.addr[7:0] == 5)bit_ctr <= sst.dato;
end
else
if(sst.act)
if(rst)
begin
if(sst.we_reg & sst.addr[7:0] == 0)reg_8x <= sst.dato;
if(sst.we_reg & sst.addr[7:0] == 1)reg_ax <= sst.dato;
if(sst.we_reg & sst.addr[7:0] == 2)reg_cx <= sst.dato;
if(sst.we_reg & sst.addr[7:0] == 3)reg_ex <= sst.dato;
if(sst.we_reg & sst.addr[7:0] == 4)sreg <= sst.dato;
reg_8x <= 5'b11111;
reg_ex[4] <= 0;//enable ram by defaukt
end
else
if(!cpu_ce_n & !cpu_rw)
Expand Down
12 changes: 6 additions & 6 deletions mappers/004/chip_mmc3.sv
Original file line number Diff line number Diff line change
Expand Up @@ -17,7 +17,7 @@ module chip_mmc3(
output ram_ce_n,
output ram_we_n,
output prg_ce_n,
output [18:13]prg_addr,
output [20:13]prg_addr,
output [17:10]chr_addr,

//extra stuff
Expand Down Expand Up @@ -50,11 +50,11 @@ module chip_mmc3(

assign prg_ce_n = !(!cpu_ce_n & cpu_rw);

assign prg_addr[18:13] =
{cpu_a14, cpu_a13} == 0 ? (prg_mod == 0 ? r8001[6][5:0] : 6'b111110) :
{cpu_a14, cpu_a13} == 1 ? r8001[7][5:0] :
{cpu_a14, cpu_a13} == 2 ? (prg_mod == 1 ? r8001[6][5:0] : 6'b111110) :
6'b111111;
assign prg_addr[20:13] =
{cpu_a14, cpu_a13} == 0 ? (prg_mod == 0 ? r8001[6][7:0] : 8'b11111110) :
{cpu_a14, cpu_a13} == 1 ? r8001[7][7:0] :
{cpu_a14, cpu_a13} == 2 ? (prg_mod == 1 ? r8001[6][7:0] : 8'b11111110) :
8'b11111111;

assign chr_addr[17:10] =
ppu_addr[12:11] == {chr_mod, 1'b0} ? {r8001[0][7:1], ppu_addr[10]} :
Expand Down
2 changes: 1 addition & 1 deletion mappers/004/map_001.sv
Original file line number Diff line number Diff line change
Expand Up @@ -43,7 +43,7 @@ module map_001(//MMC1
assign mao.bus_cf = 0;//bus conflicts
//************************************************************* save state regs read
assign mao.sst_di[7:0] =
sst.addr[7:0] < 127 ? sst_di_mmc :
sst.addr[7:0] < 127 ? sst_di_mmc :
sst.addr[7:0] == 127 ? cfg.map_idx : 8'hff;
//************************************************************* mapper-controlled pins
assign srm.ce = wram_ce | ram_ce_155;
Expand Down
2 changes: 0 additions & 2 deletions mappers/004/map_hub.sv
Original file line number Diff line number Diff line change
@@ -1,7 +1,5 @@




module map_hub(
input MapIn mai,
output MapOut mao
Expand Down
Binary file added mappers/004/output_files/top.rbf
Binary file not shown.
15 changes: 14 additions & 1 deletion mappers/004/top.qsf
Original file line number Diff line number Diff line change
Expand Up @@ -241,17 +241,30 @@ set_global_assignment -name SYSTEMVERILOG_FILE chip_mmc3.sv
set_global_assignment -name SYSTEMVERILOG_FILE irq_mmc3.sv
set_global_assignment -name SYSTEMVERILOG_FILE irq_acc.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/top.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/everdrive.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/map_255.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/map_nom.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/dma.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/pi.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/base_io.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/sys_cfg.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/sst.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/ggc.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/cheats.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/structs.sv
set_global_assignment -name SDC_FILE ../base_sv/clocks.sdc
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/defs.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/var.sv
set_global_assignment -name SIGNALTAP_FILE stp2.stp
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to rx
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[9]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[8]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[7]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[6]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[5]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[4]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[3]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[2]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[1]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[0]
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
set_global_assignment -name SLD_FILE db/stp2_auto_stripped.stp
Binary file modified mappers/004/top.qws
Binary file not shown.
Binary file added mappers/005/output_files/top.rbf
Binary file not shown.
15 changes: 14 additions & 1 deletion mappers/005/top.qsf
Original file line number Diff line number Diff line change
Expand Up @@ -232,15 +232,28 @@ set_global_assignment -name SYSTEMVERILOG_FILE map_hub.sv
set_global_assignment -name SYSTEMVERILOG_FILE map_005.sv
set_global_assignment -name SYSTEMVERILOG_FILE snd_mmc5.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/top.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/everdrive.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/map_255.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/map_nom.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/dma.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/pi.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/base_io.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/sys_cfg.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/sst.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/ggc.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/cheats.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/structs.sv
set_global_assignment -name SDC_FILE ../base_sv/clocks.sdc
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/defs.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/var.sv
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to rx
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[9]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[8]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[7]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[6]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[5]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[4]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[3]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[2]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[1]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[0]
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
Binary file modified mappers/005/top.qws
Binary file not shown.
2 changes: 1 addition & 1 deletion mappers/021/chip_vrc4.sv
Original file line number Diff line number Diff line change
Expand Up @@ -44,7 +44,7 @@ module chip_vrc4(
assign ciram_a10 = mir_mode[1] ? mir_mode[0] : !mir_mode[0] ? ppu_addr[10] : ppu_addr[11];
assign chr_ce_n = ppu_addr[13];
assign prg_ce_n = !cpu_addr[15];
assign wram_ce_n = !({cpu_addr[15:13], 13'd0} == 16'h6000 & ram_on);
assign wram_ce_n = !({cpu_addr[15:13], 13'd0} == 16'h6000);// & ram_on);
assign wr9003_n = !(cpu_addr[15:0] == 16'h9003 & !cpu_rw);

assign chr_addr[18:10] = chr_reg[ppu_addr[12:10]][8:0];
Expand Down
Binary file added mappers/021/output_files/top.rbf
Binary file not shown.
15 changes: 14 additions & 1 deletion mappers/021/top.qsf
Original file line number Diff line number Diff line change
Expand Up @@ -242,15 +242,28 @@ set_global_assignment -name SYSTEMVERILOG_FILE chip_vrc6.sv
set_global_assignment -name SYSTEMVERILOG_FILE snd_vrc6.sv
set_global_assignment -name SYSTEMVERILOG_FILE irq_vrc.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/top.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/everdrive.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/map_255.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/map_nom.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/dma.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/pi.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/base_io.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/sys_cfg.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/sst.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/ggc.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/cheats.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/structs.sv
set_global_assignment -name SDC_FILE ../base_sv/clocks.sdc
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/defs.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/var.sv
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to rx
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[9]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[8]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[7]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[6]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[5]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[4]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[3]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[2]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[1]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[0]
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
Binary file modified mappers/021/top.qws
Binary file not shown.
3 changes: 2 additions & 1 deletion mappers/255/map_hub.sv
Original file line number Diff line number Diff line change
@@ -1,5 +1,6 @@


`define SST_OFF //save state
`define CCO_OFF //cheats codes engine


module map_hub(
Expand Down
Binary file added mappers/255/output_files/top.rbf
Binary file not shown.
17 changes: 15 additions & 2 deletions mappers/255/top.qsf
Original file line number Diff line number Diff line change
Expand Up @@ -228,17 +228,30 @@ set_location_assignment PIN_D1 -to exp_io[3]
set_location_assignment PIN_D2 -to exp_io[2]
set_location_assignment PIN_C1 -to exp_io[1]
set_location_assignment PIN_C2 -to exp_io[0]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to rx
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[9]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[8]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[7]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[6]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[5]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[4]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[3]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[2]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[1]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to exp_io[0]
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
set_global_assignment -name SYSTEMVERILOG_FILE map_hub.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/top.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/everdrive.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/map_255.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/map_nom.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/dma.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/pi.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/base_io.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/sys_cfg.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/sst.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/ggc.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/cheats.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/structs.sv
set_global_assignment -name SDC_FILE ../base_sv/clocks.sdc
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/defs.sv
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
set_global_assignment -name SYSTEMVERILOG_FILE ../base_sv/var.sv
Binary file modified mappers/255/top.qws
Binary file not shown.
Loading

0 comments on commit a35aeda

Please sign in to comment.