-
Notifications
You must be signed in to change notification settings - Fork 9
Commit
This commit does not belong to any branch on this repository, and may belong to a fork outside of the repository.
- Loading branch information
1 parent
e23d55d
commit 96df2d3
Showing
593 changed files
with
37,437 additions
and
0 deletions.
There are no files selected for viewing
Binary file not shown.
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,30 @@ | ||
# -------------------------------------------------------------------------- # | ||
# | ||
# Copyright (C) 1991-2013 Altera Corporation | ||
# Your use of Altera Corporation's design tools, logic functions | ||
# and other software and tools, and its AMPP partner logic | ||
# functions, and any output files from any of the foregoing | ||
# (including device programming or simulation files), and any | ||
# associated documentation or information are expressly subject | ||
# to the terms and conditions of the Altera Program License | ||
# Subscription Agreement, Altera MegaCore Function License | ||
# Agreement, or other applicable license agreement, including, | ||
# without limitation, that your use is for the sole purpose of | ||
# programming logic devices manufactured by Altera and sold by | ||
# Altera or its authorized distributors. Please refer to the | ||
# applicable agreement for further details. | ||
# | ||
# -------------------------------------------------------------------------- # | ||
# | ||
# Quartus II 64-Bit | ||
# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition | ||
# Date created = 09:39:16 November 20, 2016 | ||
# | ||
# -------------------------------------------------------------------------- # | ||
|
||
QUARTUS_VERSION = "13.0" | ||
DATE = "09:39:16 November 20, 2016" | ||
|
||
# Revisions | ||
|
||
PROJECT_REVISION = "Blink1" |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,61 @@ | ||
# -------------------------------------------------------------------------- # | ||
# | ||
# Copyright (C) 1991-2013 Altera Corporation | ||
# Your use of Altera Corporation's design tools, logic functions | ||
# and other software and tools, and its AMPP partner logic | ||
# functions, and any output files from any of the foregoing | ||
# (including device programming or simulation files), and any | ||
# associated documentation or information are expressly subject | ||
# to the terms and conditions of the Altera Program License | ||
# Subscription Agreement, Altera MegaCore Function License | ||
# Agreement, or other applicable license agreement, including, | ||
# without limitation, that your use is for the sole purpose of | ||
# programming logic devices manufactured by Altera and sold by | ||
# Altera or its authorized distributors. Please refer to the | ||
# applicable agreement for further details. | ||
# | ||
# -------------------------------------------------------------------------- # | ||
# | ||
# Quartus II 64-Bit | ||
# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition | ||
# Date created = 09:39:16 November 20, 2016 | ||
# | ||
# -------------------------------------------------------------------------- # | ||
# | ||
# Notes: | ||
# | ||
# 1) The default values for assignments are stored in the file: | ||
# Blink1_assignment_defaults.qdf | ||
# If this file doesn't exist, see file: | ||
# assignment_defaults.qdf | ||
# | ||
# 2) Altera recommends that you do not modify this file. This | ||
# file is updated automatically by the Quartus II software | ||
# and any changes you make may be lost or overwritten. | ||
# | ||
# -------------------------------------------------------------------------- # | ||
|
||
|
||
set_global_assignment -name FAMILY "Cyclone II" | ||
set_global_assignment -name DEVICE EP2C5T144C8 | ||
set_global_assignment -name TOP_LEVEL_ENTITY Blink1 | ||
set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1" | ||
set_global_assignment -name PROJECT_CREATION_TIME_DATE "09:39:16 NOVEMBER 20, 2016" | ||
set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1" | ||
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files | ||
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 | ||
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 | ||
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 | ||
set_global_assignment -name VHDL_FILE Blink1.vhd | ||
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top | ||
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top | ||
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top | ||
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" | ||
set_global_assignment -name CDF_FILE ../Chain1.cdf | ||
set_location_assignment PIN_3 -to LED0 | ||
set_location_assignment PIN_7 -to LED1 | ||
set_location_assignment PIN_9 -to LED2 | ||
set_location_assignment PIN_144 -to PB | ||
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top | ||
set_global_assignment -name CDF_FILE output_files/Chain3.cdf | ||
set_global_assignment -name CDF_FILE output_files/Chain4.cdf |
Binary file not shown.
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,16 @@ | ||
library IEEE; | ||
use IEEE.STD_LOGIC_1164.ALL; | ||
|
||
entity Blink1 is | ||
Port (PB : in STD_LOGIC; | ||
LED0 : out STD_LOGIC; | ||
LED1 : out STD_LOGIC; | ||
LED2 : out STD_LOGIC); | ||
end Blink1; | ||
|
||
architecture Behavioral of Blink1 is | ||
begin | ||
LED0 <= PB; | ||
LED1 <= not PB; | ||
LED2 <= PB; | ||
end behavioral; |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,16 @@ | ||
library IEEE; | ||
ise IEEE.STD_LOGIC_1164.ALL: | ||
|
||
entity testInvert is | ||
Port (PB : in STD_LOGIC: | ||
LED0 : out STD_LOGIC: | ||
LED1 : out STD_LOGIC: | ||
LED2 : out STD_LOGIC): | ||
end testInvert; | ||
|
||
architecture Behavioral of testInvert is | ||
begin | ||
LED0 <= PB: | ||
LED1 <= not PB: | ||
LED2 <= PB: | ||
end behavioral; |
Binary file not shown.
Binary file not shown.
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,6 @@ | ||
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1479656587424 ""} | ||
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1479656587425 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Nov 20 10:43:07 2016 " "Processing started: Sun Nov 20 10:43:07 2016" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1479656587425 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1479656587425 ""} | ||
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off Blink1 -c Blink1 " "Command: quartus_asm --read_settings_files=off --write_settings_files=off Blink1 -c Blink1" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1479656587425 ""} | ||
{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1479656588326 ""} | ||
{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1479656588449 ""} | ||
{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "438 " "Peak virtual memory: 438 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1479656588982 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Nov 20 10:43:08 2016 " "Processing ended: Sun Nov 20 10:43:08 2016" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1479656588982 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1479656588982 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1479656588982 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1479656588982 ""} |
Binary file not shown.
Binary file not shown.
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,5 @@ | ||
<?xml version="1.0" ?> | ||
<LOG_ROOT> | ||
<PROJECT NAME="Blink1"> | ||
</PROJECT> | ||
</LOG_ROOT> |
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1 @@ | ||
v1 |
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,3 @@ | ||
Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition | ||
Version_Index = 302049280 | ||
Creation_Time = Sun Nov 20 09:39:16 2016 |
Oops, something went wrong.