Skip to content

Commit

Permalink
Fix Verilator 5.010 compilation error
Browse files Browse the repository at this point in the history
Verilator was throwing a compilation error due to
MULTIDRIVEN and BLKSEQ in ibex_tracer.sv

This change ensures successful compilation with Verilator 5.010
  • Loading branch information
leesum1 committed May 30, 2023
1 parent 97df7a5 commit a7d1ef7
Showing 1 changed file with 4 additions and 0 deletions.
4 changes: 4 additions & 0 deletions rtl/ibex_tracer.sv
Original file line number Diff line number Diff line change
Expand Up @@ -116,7 +116,9 @@ module ibex_tracer (
$sformat(file_name, "%s_%h.log", file_name_base, hart_id_i);

$display("%m: Writing execution trace to %s", file_name);
/* verilator lint_off BLKSEQ */
file_handle = $fopen(file_name, "w");
/* verilator lint_on BLKSEQ */
$fwrite(file_handle,
"Time\tCycle\tPC\tInsn\tDecoded instruction\tRegister and memory contents\n");
end
Expand Down Expand Up @@ -759,8 +761,10 @@ module ibex_tracer (
end

always_comb begin
/* verilator lint_off MULTIDRIVEN */
decoded_str = "";
data_accessed = 5'h0;
/* verilator lint_on MULTIDRIVEN */
insn_is_compressed = 0;

// Check for compressed instructions
Expand Down

0 comments on commit a7d1ef7

Please sign in to comment.