Skip to content

Commit

Permalink
use ifdef instead of pragma once
Browse files Browse the repository at this point in the history
  • Loading branch information
maki49 committed Jul 11, 2024
1 parent 90fdedb commit c2a61d0
Show file tree
Hide file tree
Showing 2 changed files with 6 additions and 2 deletions.
4 changes: 3 additions & 1 deletion source/module_io/write_Vxc.hpp
Original file line number Diff line number Diff line change
@@ -1,4 +1,5 @@
#pragma once
#ifndef __WRITE_VXC_H_
#define __WRITE_VXC_H_
#include "module_base/parallel_reduce.h"
#include "module_base/scalapack_connector.h"
#include "module_hamilt_lcao/hamilt_lcaodft/operator_lcao/op_dftu_lcao.h"
Expand Down Expand Up @@ -436,3 +437,4 @@ void write_Vxc(int nspin,
}
}
} // namespace ModuleIO
#endif
4 changes: 3 additions & 1 deletion source/module_io/write_Vxc_lip.hpp
Original file line number Diff line number Diff line change
@@ -1,4 +1,5 @@
#pragma once
#ifndef __WRITE_VXC_LIP_H_
#define __WRITE_VXC_LIP_H_
#include "module_base/parallel_reduce.h"
#include "module_base/module_container/base/third_party/blas.h"
#include "module_hamilt_pw/hamilt_pwdft/operator_pw/veff_pw.h"
Expand Down Expand Up @@ -248,3 +249,4 @@ namespace ModuleIO
}
}
} // namespace ModuleIO
#endif

0 comments on commit c2a61d0

Please sign in to comment.