Skip to content

Commit

Permalink
remove the assertion that can be triggered when a HANDSHAKE_DONE fram…
Browse files Browse the repository at this point in the history
…e is lost (#1882)
  • Loading branch information
KershawChang authored May 6, 2024
1 parent 9d17e2a commit d589ea0
Showing 1 changed file with 0 additions and 4 deletions.
4 changes: 0 additions & 4 deletions neqo-transport/src/connection/state.rs
Original file line number Diff line number Diff line change
Expand Up @@ -212,10 +212,6 @@ pub enum StateSignaling {
impl StateSignaling {
pub fn handshake_done(&mut self) {
if !matches!(self, Self::Idle) {
debug_assert!(
false,
"StateSignaling must be in Idle state but is in {self:?} state.",
);
return;
}
*self = Self::HandshakeDone;
Expand Down

0 comments on commit d589ea0

Please sign in to comment.