Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Support for Alchitry Au platform #127

Merged
merged 1 commit into from
Jun 15, 2024
Merged
Show file tree
Hide file tree
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Loading
Jump to
Jump to file
Failed to load files.
Loading
Diff view
Diff view
16 changes: 16 additions & 0 deletions data/alchitry_au.xdc
Original file line number Diff line number Diff line change
@@ -0,0 +1,16 @@
## Clock signal
set_property -dict { PACKAGE_PIN N14 IOSTANDARD LVCMOS33 } [get_ports i_clk];
create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports i_clk];

## Reset
set_property -dict {PACKAGE_PIN P6 IOSTANDARD LVCMOS33 } [get_ports i_rst_n];

## LED
## set_property -dict { PACKAGE_PIN L14 IOSTANDARD LVCMOS33 } [get_ports q];

## USB Serial output
set_property -dict { PACKAGE_PIN P16 IOSTANDARD LVCMOS33 } [get_ports q]

set_property CONFIG_VOLTAGE 3.3 [current_design]
set_property CFGBVS VCCO [current_design]

15 changes: 15 additions & 0 deletions servant.core
Original file line number Diff line number Diff line change
Expand Up @@ -55,6 +55,12 @@ filesets:

alhambra : {files: [data/alhambra.pcf : {file_type : PCF}]}

alchitry_au:
files:
- servant/servix_clock_gen.v : {file_type : verilogSource}
- servant/servix.v : {file_type : verilogSource}
- data/alchitry_au.xdc : {file_type : xdc}

arty_a7_35t:
files:
- servant/servix_clock_gen.v : {file_type : verilogSource}
Expand Down Expand Up @@ -215,6 +221,15 @@ targets:
vivado: {part : xc7a200t-fbg676-2}
toplevel : servant_ac701

alchitry_au:
default_tool: vivado
description: Open-hardware Alchitry AU FPGA board
filesets : [mem_files, soc, alchitry_au]
parameters : [memfile, memsize, frequency=16, "mdu? (MDU=1)", WITH_RESET]
tools:
vivado: {part : xc7a35tftg256-1}
toplevel : servix

alhambra:
default_tool : icestorm
description: Open-hardware iCE40HX4K FPGA board
Expand Down
Loading