Skip to content

Commit

Permalink
added zero utilization testcase
Browse files Browse the repository at this point in the history
  • Loading branch information
zhergarvi committed Jul 8, 2024
1 parent 56312d7 commit 6f4f39e
Show file tree
Hide file tree
Showing 162 changed files with 313,220 additions and 0 deletions.
26 changes: 26 additions & 0 deletions EDA-3033/raptor.tcl
Original file line number Diff line number Diff line change
@@ -0,0 +1,26 @@
create_design sudoku_check
target_device 1VG28
add_include_path ./rtl
add_design_file ./rtl/fifo.v
add_design_file ./rtl/pll.v
add_design_file ./rtl/puz_bank3.v
add_design_file ./rtl/sudoku.v
add_design_file ./rtl/sudoku_ans.v
add_design_file ./rtl/sudoku_bin2hex.v
add_design_file ./rtl/sudoku_check.v
add_design_file ./rtl/sudoku_core.v
add_design_file ./rtl/sudoku_hex2bin.v
add_design_file ./rtl/sudoku_mask.v
add_design_file ./rtl/sudoku_mask_stg2.v
add_design_file ./rtl/sudoku_partials.v
add_design_file ./rtl/sudoku_solution.v
set_top_module sudoku_check
add_constraint_file ./raptor_sdc.sdc
analyze
synthesize delay
packing
place
route
sta
power
bitstream
3 changes: 3 additions & 0 deletions EDA-3033/raptor_sdc.sdc
Original file line number Diff line number Diff line change
@@ -0,0 +1,3 @@
create_clock -period 2.5 clk
set_input_delay 0.1 -clock clk [get_ports {*}]
set_output_delay 0.1 -clock clk [get_ports {*}]
62 changes: 62 additions & 0 deletions EDA-3033/results_dir_1.1.18/CGA_Result.json
Original file line number Diff line number Diff line change
@@ -0,0 +1,62 @@
{
"strategy": "delay",
"total_runtime": 678734,
"synthesis_runtime": 258693,
"packing_runtime": 16986,
"placement_runtime": 96594,
"routing_runtime": 51840,
"time_analysis_runtime": 17154,
"bitstream_runtime": 197743,
"reg_id": "23",
"device": "1VG28",
"target device": "1VG28",
"version": "2024.07",
"git_hash": "4dceb7b",
"built": "1.1.18",
"built_type": "Engineering",
"status": "Pass",
"error_msg": null,
"post_synth_sim_status": null,
"post_route_sim_status": null,
"bitstream_sim_status": null,
"failure_type": null,
"fmax_clock1": 248.866,
"fmax_clock2": null,
"fmax_clock3": null,
"fmax_clock4": null,
"fmax_clock5": null,
"fmax_clock6": null,
"wns_clock1": -1.51823,
"wns_clock2": null,
"wns_clock3": null,
"wns_clock4": null,
"wns_clock5": null,
"wns_clock6": null,
"tns": -50.0639,
"fmax_geomean": 248.866,
"registers": 33,
"total_luts": 40,
"brams": null,
"dsp": null,
"Adder_Carry": null,
"CLB": 5,
"LUT_CLB_ratio": 0.0,
"CLB_percentage_used": 0.22893772893772896,
"FLE_Percentage_used": 0.22893772893772893,
"Wirelength_Percentage_used": 0.21593915343915343,
"logic_level_clock1": 0,
"logic_level_clock2": null,
"logic_level_clock3": null,
"logic_level_clock4": null,
"logic_level_clock5": null,
"logic_level_clock6": null,
"total_power": null,
"dynamic_power": null,
"static_power": null,
"target_freq_clock1": 400.0,
"target_freq_clock2": null,
"target_freq_clock3": null,
"target_freq_clock4": null,
"target_freq_clock5": null,
"target_freq_clock6": null
}
18,623 changes: 18,623 additions & 0 deletions EDA-3033/results_dir_1.1.18/raptor.log

Large diffs are not rendered by default.

22 changes: 22 additions & 0 deletions EDA-3033/results_dir_1.1.18/raptor_cmd.tcl
Original file line number Diff line number Diff line change
@@ -0,0 +1,22 @@
# /*******************************************************************************
# Copyright (c) 2022-2024 Rapid Silicon
# This source code contains proprietary information belonging to Rapid Silicon
# (the "licensor") released under license and non-disclosure agreement to the
# recipient (the "licensee").
# The information shared and protected by the license and non-disclosure agreement
# includes but is not limited to the following:
# * operational algorithms of the product
# * logos, graphics, source code, and visual presentation of the product
# * confidential operational information of the licensor
# The recipient of this source code is NOT permitted to publicly disclose,
# re-use, archive beyond the period of the license agreement, transfer to a
# sub-licensee, or re-implement any portion of the content covered by the license
# and non-disclosure agreement without the prior written consent of the licensor.
# *********************************************************************************/
# Version : 2024.07
# Build : 1.1.18
# Hash : 4dceb7b
# Date : Jul 1 2024
# Type : Engineering
# Log Time : Mon Jul 8 10:38:45 2024 GMT
source /nfs_eda_sw/softwares/Raptor/instl_dir/07_01_2024_09_15_02/share/raptor/etc/init/flow.tcl
55 changes: 55 additions & 0 deletions EDA-3033/results_dir_1.1.18/raptor_perf.log
Original file line number Diff line number Diff line change
@@ -0,0 +1,55 @@
/*******************************************************************************
Copyright (c) 2022-2024 Rapid Silicon
This source code contains proprietary information belonging to Rapid Silicon
(the "licensor") released under license and non-disclosure agreement to the
recipient (the "licensee").

The information shared and protected by the license and non-disclosure agreement
includes but is not limited to the following:
* operational algorithms of the product
* logos, graphics, source code, and visual presentation of the product
* confidential operational information of the licensor

The recipient of this source code is NOT permitted to publicly disclose,
re-use, archive beyond the period of the license agreement, transfer to a
sub-licensee, or re-implement any portion of the content covered by the license
and non-disclosure agreement without the prior written consent of the licensor.
*********************************************************************************/

Version : 2024.07
Build : 1.1.18
Hash : 4dceb7b
Date : Jul 1 2024
Type : Engineering
Log Time : Mon Jul 8 10:38:45 2024 GMT

[ 15:38:45 ] Analysis has started
[ 15:38:46 ] Command: /nfs_eda_sw/softwares/Raptor/instl_dir/07_01_2024_09_15_02/bin/yosys -s /nfs_scratch/scratch/CompilerValidation/zaheer_ahmad/os_fpga2/Validation/RTL_testcases/RTL_Benchmarks_Gap_Analysis/sudoku_check/results_dir/sudoku_check/run_1/synth_1_1/analysis/sudoku_check_analyzer.cmd
[ 15:39:25 ] Duration: 39214 ms. Max utilization: 555 MB
[ 15:39:25 ] Synthesize has started
[ 15:39:25 ] Command: /nfs_eda_sw/softwares/Raptor/instl_dir/07_01_2024_09_15_02/bin/yosys -s sudoku_check.ys -l sudoku_check_synth.log
[ 15:43:44 ] Duration: 258693 ms. Max utilization: 1969 MB
[ 15:43:44 ] Packing has started
[ 15:43:44 ] Analysis has started
[ 15:43:44 ] Command: /nfs_eda_sw/softwares/Raptor/instl_dir/07_01_2024_09_15_02/bin/vpr /nfs_eda_sw/softwares/Raptor/instl_dir/07_01_2024_09_15_02/share/raptor/etc/devices/gemini_compact_62x44/gemini_vpr.xml /nfs_scratch/scratch/CompilerValidation/zaheer_ahmad/os_fpga2/Validation/RTL_testcases/RTL_Benchmarks_Gap_Analysis/sudoku_check/results_dir/sudoku_check/run_1/synth_1_1/synthesis/fabric_sudoku_check_post_synth.eblif --sdc_file /nfs_scratch/scratch/CompilerValidation/zaheer_ahmad/os_fpga2/Validation/RTL_testcases/RTL_Benchmarks_Gap_Analysis/sudoku_check/results_dir/sudoku_check/run_1/synth_1_1/impl_1_1_1/packing/fabric_sudoku_check_openfpga.sdc --route_chan_width 160 --suppress_warnings check_rr_node_warnings.log,check_rr_node --clock_modeling ideal --absorb_buffer_luts off --skip_sync_clustering_and_routing_results off --constant_net_method route --post_place_timing_report sudoku_check_post_place_timing.rpt --device castor62x44_heterogeneous --allow_unrelated_clustering on --allow_dangling_combinational_nodes on --place_delta_delay_matrix_calculation_method dijkstra --gen_post_synthesis_netlist on --post_synth_netlist_unconn_inputs gnd --inner_loop_recompute_divider 1 --max_router_iterations 1500 --timing_report_detail detailed --timing_report_npaths 100 --top sudoku_check --net_file /nfs_scratch/scratch/CompilerValidation/zaheer_ahmad/os_fpga2/Validation/RTL_testcases/RTL_Benchmarks_Gap_Analysis/sudoku_check/results_dir/sudoku_check/run_1/synth_1_1/impl_1_1_1/packing/fabric_sudoku_check_post_synth.net --place_file /nfs_scratch/scratch/CompilerValidation/zaheer_ahmad/os_fpga2/Validation/RTL_testcases/RTL_Benchmarks_Gap_Analysis/sudoku_check/results_dir/sudoku_check/run_1/synth_1_1/impl_1_1_1/placement/fabric_sudoku_check_post_synth.place --route_file /nfs_scratch/scratch/CompilerValidation/zaheer_ahmad/os_fpga2/Validation/RTL_testcases/RTL_Benchmarks_Gap_Analysis/sudoku_check/results_dir/sudoku_check/run_1/synth_1_1/impl_1_1_1/routing/fabric_sudoku_check_post_synth.route --pack
[ 15:44:01 ] Duration: 16986 ms. Max utilization: 1079 MB
[ 15:44:01 ] Placement has started
[ 15:44:01 ] Command: /nfs_eda_sw/softwares/Raptor/instl_dir/07_01_2024_09_15_02/bin/planning --csv /nfs_eda_sw/softwares/Raptor/instl_dir/07_01_2024_09_15_02/share/raptor/etc/devices/gemini_compact_62x44/Virgo_Pin_Table.csv --blif /nfs_scratch/scratch/CompilerValidation/zaheer_ahmad/os_fpga2/Validation/RTL_testcases/RTL_Benchmarks_Gap_Analysis/sudoku_check/results_dir/sudoku_check/run_1/synth_1_1/synthesis/fabric_sudoku_check_post_synth.eblif --output sudoku_check_pin_loc.place --assign_unconstrained_pins in_define_order --clk_map sudoku_check.temp_file_clkmap --read_repack /nfs_eda_sw/softwares/Raptor/instl_dir/07_01_2024_09_15_02/share/raptor/etc/devices/gemini_compact_62x44/fpga_repack_constraints.xml --write_repack sudoku_check_repack_constraints.xml --edits /nfs_scratch/scratch/CompilerValidation/zaheer_ahmad/os_fpga2/Validation/RTL_testcases/RTL_Benchmarks_Gap_Analysis/sudoku_check/results_dir/sudoku_check/run_1/synth_1_1/synthesis/config.json
[ 15:44:02 ] Duration: 631 ms. Max utilization: 284 MB
[ 15:44:02 ] Command: /nfs_eda_sw/softwares/Raptor/instl_dir/07_01_2024_09_15_02/bin/vpr /nfs_eda_sw/softwares/Raptor/instl_dir/07_01_2024_09_15_02/share/raptor/etc/devices/gemini_compact_62x44/gemini_vpr.xml /nfs_scratch/scratch/CompilerValidation/zaheer_ahmad/os_fpga2/Validation/RTL_testcases/RTL_Benchmarks_Gap_Analysis/sudoku_check/results_dir/sudoku_check/run_1/synth_1_1/synthesis/fabric_sudoku_check_post_synth.eblif --sdc_file /nfs_scratch/scratch/CompilerValidation/zaheer_ahmad/os_fpga2/Validation/RTL_testcases/RTL_Benchmarks_Gap_Analysis/sudoku_check/results_dir/sudoku_check/run_1/synth_1_1/impl_1_1_1/packing/fabric_sudoku_check_openfpga.sdc --route_chan_width 160 --suppress_warnings check_rr_node_warnings.log,check_rr_node --clock_modeling ideal --absorb_buffer_luts off --skip_sync_clustering_and_routing_results off --constant_net_method route --post_place_timing_report sudoku_check_post_place_timing.rpt --device castor62x44_heterogeneous --allow_unrelated_clustering on --allow_dangling_combinational_nodes on --place_delta_delay_matrix_calculation_method dijkstra --gen_post_synthesis_netlist on --post_synth_netlist_unconn_inputs gnd --inner_loop_recompute_divider 1 --max_router_iterations 1500 --timing_report_detail detailed --timing_report_npaths 100 --top sudoku_check --net_file /nfs_scratch/scratch/CompilerValidation/zaheer_ahmad/os_fpga2/Validation/RTL_testcases/RTL_Benchmarks_Gap_Analysis/sudoku_check/results_dir/sudoku_check/run_1/synth_1_1/impl_1_1_1/packing/fabric_sudoku_check_post_synth.net --place_file /nfs_scratch/scratch/CompilerValidation/zaheer_ahmad/os_fpga2/Validation/RTL_testcases/RTL_Benchmarks_Gap_Analysis/sudoku_check/results_dir/sudoku_check/run_1/synth_1_1/impl_1_1_1/placement/fabric_sudoku_check_post_synth.place --route_file /nfs_scratch/scratch/CompilerValidation/zaheer_ahmad/os_fpga2/Validation/RTL_testcases/RTL_Benchmarks_Gap_Analysis/sudoku_check/results_dir/sudoku_check/run_1/synth_1_1/impl_1_1_1/routing/fabric_sudoku_check_post_synth.route --place --fix_clusters sudoku_check_pin_loc.place
[ 15:45:38 ] Duration: 95963 ms. Max utilization: 1321 MB
[ 15:45:38 ] Route has started
[ 15:45:38 ] Command: /nfs_eda_sw/softwares/Raptor/instl_dir/07_01_2024_09_15_02/bin/vpr /nfs_eda_sw/softwares/Raptor/instl_dir/07_01_2024_09_15_02/share/raptor/etc/devices/gemini_compact_62x44/gemini_vpr.xml /nfs_scratch/scratch/CompilerValidation/zaheer_ahmad/os_fpga2/Validation/RTL_testcases/RTL_Benchmarks_Gap_Analysis/sudoku_check/results_dir/sudoku_check/run_1/synth_1_1/synthesis/fabric_sudoku_check_post_synth.eblif --sdc_file /nfs_scratch/scratch/CompilerValidation/zaheer_ahmad/os_fpga2/Validation/RTL_testcases/RTL_Benchmarks_Gap_Analysis/sudoku_check/results_dir/sudoku_check/run_1/synth_1_1/impl_1_1_1/packing/fabric_sudoku_check_openfpga.sdc --route_chan_width 160 --suppress_warnings check_rr_node_warnings.log,check_rr_node --clock_modeling ideal --absorb_buffer_luts off --skip_sync_clustering_and_routing_results off --constant_net_method route --post_place_timing_report sudoku_check_post_place_timing.rpt --device castor62x44_heterogeneous --allow_unrelated_clustering on --allow_dangling_combinational_nodes on --place_delta_delay_matrix_calculation_method dijkstra --gen_post_synthesis_netlist on --post_synth_netlist_unconn_inputs gnd --inner_loop_recompute_divider 1 --max_router_iterations 1500 --timing_report_detail detailed --timing_report_npaths 100 --top sudoku_check --net_file /nfs_scratch/scratch/CompilerValidation/zaheer_ahmad/os_fpga2/Validation/RTL_testcases/RTL_Benchmarks_Gap_Analysis/sudoku_check/results_dir/sudoku_check/run_1/synth_1_1/impl_1_1_1/packing/fabric_sudoku_check_post_synth.net --place_file /nfs_scratch/scratch/CompilerValidation/zaheer_ahmad/os_fpga2/Validation/RTL_testcases/RTL_Benchmarks_Gap_Analysis/sudoku_check/results_dir/sudoku_check/run_1/synth_1_1/impl_1_1_1/placement/fabric_sudoku_check_post_synth.place --route_file /nfs_scratch/scratch/CompilerValidation/zaheer_ahmad/os_fpga2/Validation/RTL_testcases/RTL_Benchmarks_Gap_Analysis/sudoku_check/results_dir/sudoku_check/run_1/synth_1_1/impl_1_1_1/routing/fabric_sudoku_check_post_synth.route --route
[ 15:46:30 ] Duration: 51840 ms. Max utilization: 1167 MB
[ 15:46:30 ] Command: /nfs_eda_sw/softwares/Raptor/instl_dir/07_01_2024_09_15_02/bin/finalize /nfs_scratch/scratch/CompilerValidation/zaheer_ahmad/os_fpga2/Validation/RTL_testcases/RTL_Benchmarks_Gap_Analysis/sudoku_check/results_dir/sudoku_check/run_1/synth_1_1/impl_1_1_1/routing/fabric_sudoku_check_post_synthesis.v /nfs_scratch/scratch/CompilerValidation/zaheer_ahmad/os_fpga2/Validation/RTL_testcases/RTL_Benchmarks_Gap_Analysis/sudoku_check/results_dir/sudoku_check/run_1/synth_1_1/impl_1_1_1/routing/fabric_sudoku_check_post_synthesis.v_
[ 15:46:30 ] Duration: 145 ms. Max utilization: 14 MB
[ 15:46:30 ] TimingAnalysis has started
[ 15:46:30 ] Command: /nfs_eda_sw/softwares/Raptor/instl_dir/07_01_2024_09_15_02/bin/vpr /nfs_eda_sw/softwares/Raptor/instl_dir/07_01_2024_09_15_02/share/raptor/etc/devices/gemini_compact_62x44/gemini_vpr.xml /nfs_scratch/scratch/CompilerValidation/zaheer_ahmad/os_fpga2/Validation/RTL_testcases/RTL_Benchmarks_Gap_Analysis/sudoku_check/results_dir/sudoku_check/run_1/synth_1_1/synthesis/fabric_sudoku_check_post_synth.eblif --sdc_file /nfs_scratch/scratch/CompilerValidation/zaheer_ahmad/os_fpga2/Validation/RTL_testcases/RTL_Benchmarks_Gap_Analysis/sudoku_check/results_dir/sudoku_check/run_1/synth_1_1/impl_1_1_1/packing/fabric_sudoku_check_openfpga.sdc --route_chan_width 160 --suppress_warnings check_rr_node_warnings.log,check_rr_node --clock_modeling ideal --absorb_buffer_luts off --skip_sync_clustering_and_routing_results off --constant_net_method route --post_place_timing_report sudoku_check_post_place_timing.rpt --device castor62x44_heterogeneous --allow_unrelated_clustering on --allow_dangling_combinational_nodes on --place_delta_delay_matrix_calculation_method dijkstra --post_synth_netlist_unconn_inputs gnd --inner_loop_recompute_divider 1 --max_router_iterations 1500 --timing_report_detail detailed --timing_report_npaths 100 --top sudoku_check --net_file /nfs_scratch/scratch/CompilerValidation/zaheer_ahmad/os_fpga2/Validation/RTL_testcases/RTL_Benchmarks_Gap_Analysis/sudoku_check/results_dir/sudoku_check/run_1/synth_1_1/impl_1_1_1/packing/fabric_sudoku_check_post_synth.net --place_file /nfs_scratch/scratch/CompilerValidation/zaheer_ahmad/os_fpga2/Validation/RTL_testcases/RTL_Benchmarks_Gap_Analysis/sudoku_check/results_dir/sudoku_check/run_1/synth_1_1/impl_1_1_1/placement/fabric_sudoku_check_post_synth.place --route_file /nfs_scratch/scratch/CompilerValidation/zaheer_ahmad/os_fpga2/Validation/RTL_testcases/RTL_Benchmarks_Gap_Analysis/sudoku_check/results_dir/sudoku_check/run_1/synth_1_1/impl_1_1_1/routing/fabric_sudoku_check_post_synth.route --analysis
[ 15:46:47 ] Duration: 17154 ms. Max utilization: 1382 MB
[ 15:46:48 ] PowerAnalysis has started
[ 15:46:48 ] Command: /nfs_eda_sw/softwares/Raptor/instl_dir/07_01_2024_09_15_02/bin/yosys -s pw_extract.ys -l sudoku_check_power.log
[ 15:46:48 ] Duration: 365 ms. Max utilization: 47 MB
[ 15:46:48 ] GenerateBitstream has started
[ 15:46:48 ] Command: /nfs_eda_sw/softwares/Raptor/instl_dir/07_01_2024_09_15_02/bin/openfpga -batch -f sudoku_check.openfpga
[ 15:50:06 ] Duration: 197743 ms. Max utilization: 3153 MB
[ 15:50:06 ] Analysis has started
Loading

0 comments on commit 6f4f39e

Please sign in to comment.