Skip to content

Commit

Permalink
fix test issue
Browse files Browse the repository at this point in the history
  • Loading branch information
noel2004 committed Aug 12, 2024
1 parent 7677396 commit fffc7c3
Showing 1 changed file with 6 additions and 1 deletion.
7 changes: 6 additions & 1 deletion bus-mapping/src/circuit_input_builder/l2.rs
Original file line number Diff line number Diff line change
Expand Up @@ -146,6 +146,11 @@ impl CircuitInputBuilder {
.map(|(k, v)| (k.as_bytes(), v.as_bytes())),
);

log::debug!(
"building partial ZktrieState done from new trace, root {}",
hex::encode(state.root())
);

Some(state)
} else if !light_mode {
let mpt_init_state = ZktrieState::from_trace_with_additional(
Expand Down Expand Up @@ -179,7 +184,7 @@ impl CircuitInputBuilder {
log::trace!("sdb trace[query mode] {:?} {:?}", addr, acc);
sdb.set_account(&addr, state_db::Account::from(&acc));
} else {
log::warn!("can not query account with addr {:?}", addr);
sdb.set_account(&addr, state_db::Account::zero());
}
}

Expand Down

0 comments on commit fffc7c3

Please sign in to comment.