Skip to content

Commit

Permalink
Merge pull request #903 from slaclab/pre-release
Browse files Browse the repository at this point in the history
Release Candidate v2.24.3
  • Loading branch information
ruck314 authored Sep 30, 2021
2 parents 7d047d8 + f0becf5 commit 54a146e
Show file tree
Hide file tree
Showing 9 changed files with 983 additions and 61 deletions.
4 changes: 2 additions & 2 deletions ethernet/Caui4Core/gtyUltraScale+/ip/Caui4GtyIpCore156MHz.dcp
Git LFS file not shown
200 changes: 174 additions & 26 deletions ethernet/Caui4Core/gtyUltraScale+/ip/Caui4GtyIpCore156MHz.xci

Large diffs are not rendered by default.

4 changes: 2 additions & 2 deletions ethernet/Caui4Core/gtyUltraScale+/ip/Caui4GtyIpCore161MHz.dcp
Git LFS file not shown
200 changes: 174 additions & 26 deletions ethernet/Caui4Core/gtyUltraScale+/ip/Caui4GtyIpCore161MHz.xci

Large diffs are not rendered by default.

158 changes: 158 additions & 0 deletions ethernet/Caui4Core/gtyUltraScale+/rtl/Caui4GtyIpWrapper.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -172,12 +172,40 @@ architecture mapping of Caui4GtyIpWrapper is
rx_otn_data_2 : out std_logic_vector(65 downto 0);
rx_otn_data_3 : out std_logic_vector(65 downto 0);
rx_otn_data_4 : out std_logic_vector(65 downto 0);
rx_otn_ena : out std_logic;
rx_otn_lane0 : out std_logic;
rx_otn_vlmarker : out std_logic;
rx_preambleout : out std_logic_vector(55 downto 0);
usr_rx_reset : out std_logic;
gt_rxusrclk2 : out std_logic;
stat_rx_aligned : out std_logic;
stat_rx_aligned_err : out std_logic;
stat_rx_bad_code : out std_logic_vector(2 downto 0);
stat_rx_bad_fcs : out std_logic_vector(2 downto 0);
stat_rx_bad_preamble : out std_logic;
stat_rx_bad_sfd : out std_logic;
stat_rx_bip_err_0 : out std_logic;
stat_rx_bip_err_1 : out std_logic;
stat_rx_bip_err_10 : out std_logic;
stat_rx_bip_err_11 : out std_logic;
stat_rx_bip_err_12 : out std_logic;
stat_rx_bip_err_13 : out std_logic;
stat_rx_bip_err_14 : out std_logic;
stat_rx_bip_err_15 : out std_logic;
stat_rx_bip_err_16 : out std_logic;
stat_rx_bip_err_17 : out std_logic;
stat_rx_bip_err_18 : out std_logic;
stat_rx_bip_err_19 : out std_logic;
stat_rx_bip_err_2 : out std_logic;
stat_rx_bip_err_3 : out std_logic;
stat_rx_bip_err_4 : out std_logic;
stat_rx_bip_err_5 : out std_logic;
stat_rx_bip_err_6 : out std_logic;
stat_rx_bip_err_7 : out std_logic;
stat_rx_bip_err_8 : out std_logic;
stat_rx_bip_err_9 : out std_logic;
stat_rx_block_lock : out std_logic_vector(19 downto 0);
stat_rx_broadcast : out std_logic;
stat_rx_fragment : out std_logic_vector(2 downto 0);
stat_rx_framing_err_0 : out std_logic_vector(1 downto 0);
stat_rx_framing_err_1 : out std_logic_vector(1 downto 0);
Expand All @@ -199,23 +227,74 @@ architecture mapping of Caui4GtyIpWrapper is
stat_rx_framing_err_7 : out std_logic_vector(1 downto 0);
stat_rx_framing_err_8 : out std_logic_vector(1 downto 0);
stat_rx_framing_err_9 : out std_logic_vector(1 downto 0);
stat_rx_framing_err_valid_0 : out std_logic;
stat_rx_framing_err_valid_1 : out std_logic;
stat_rx_framing_err_valid_10 : out std_logic;
stat_rx_framing_err_valid_11 : out std_logic;
stat_rx_framing_err_valid_12 : out std_logic;
stat_rx_framing_err_valid_13 : out std_logic;
stat_rx_framing_err_valid_14 : out std_logic;
stat_rx_framing_err_valid_15 : out std_logic;
stat_rx_framing_err_valid_16 : out std_logic;
stat_rx_framing_err_valid_17 : out std_logic;
stat_rx_framing_err_valid_18 : out std_logic;
stat_rx_framing_err_valid_19 : out std_logic;
stat_rx_framing_err_valid_2 : out std_logic;
stat_rx_framing_err_valid_3 : out std_logic;
stat_rx_framing_err_valid_4 : out std_logic;
stat_rx_framing_err_valid_5 : out std_logic;
stat_rx_framing_err_valid_6 : out std_logic;
stat_rx_framing_err_valid_7 : out std_logic;
stat_rx_framing_err_valid_8 : out std_logic;
stat_rx_framing_err_valid_9 : out std_logic;
stat_rx_got_signal_os : out std_logic;
stat_rx_hi_ber : out std_logic;
stat_rx_inrangeerr : out std_logic;
stat_rx_internal_local_fault : out std_logic;
stat_rx_jabber : out std_logic;
stat_rx_local_fault : out std_logic;
stat_rx_mf_err : out std_logic_vector(19 downto 0);
stat_rx_mf_len_err : out std_logic_vector(19 downto 0);
stat_rx_mf_repeat_err : out std_logic_vector(19 downto 0);
stat_rx_misaligned : out std_logic;
stat_rx_multicast : out std_logic;
stat_rx_oversize : out std_logic;
stat_rx_packet_1024_1518_bytes : out std_logic;
stat_rx_packet_128_255_bytes : out std_logic;
stat_rx_packet_1519_1522_bytes : out std_logic;
stat_rx_packet_1523_1548_bytes : out std_logic;
stat_rx_packet_1549_2047_bytes : out std_logic;
stat_rx_packet_2048_4095_bytes : out std_logic;
stat_rx_packet_256_511_bytes : out std_logic;
stat_rx_packet_4096_8191_bytes : out std_logic;
stat_rx_packet_512_1023_bytes : out std_logic;
stat_rx_packet_64_bytes : out std_logic;
stat_rx_packet_65_127_bytes : out std_logic;
stat_rx_packet_8192_9215_bytes : out std_logic;
stat_rx_packet_bad_fcs : out std_logic;
stat_rx_packet_large : out std_logic;
stat_rx_packet_small : out std_logic_vector(2 downto 0);
ctl_rx_enable : in std_logic;
ctl_rx_force_resync : in std_logic;
ctl_rx_test_pattern : in std_logic;
core_rx_reset : in std_logic;
rx_clk : in std_logic;
stat_rx_received_local_fault : out std_logic;
stat_rx_remote_fault : out std_logic;
stat_rx_status : out std_logic;
stat_rx_stomped_fcs : out std_logic_vector(2 downto 0);
stat_rx_synced : out std_logic_vector(19 downto 0);
stat_rx_synced_err : out std_logic_vector(19 downto 0);
stat_rx_test_pattern_mismatch : out std_logic_vector(2 downto 0);
stat_rx_toolong : out std_logic;
stat_rx_total_bytes : out std_logic_vector(6 downto 0);
stat_rx_total_good_bytes : out std_logic_vector(13 downto 0);
stat_rx_total_good_packets : out std_logic;
stat_rx_total_packets : out std_logic_vector(2 downto 0);
stat_rx_truncated : out std_logic;
stat_rx_undersize : out std_logic_vector(2 downto 0);
stat_rx_unicast : out std_logic;
stat_rx_vlan : out std_logic;
stat_rx_pcsl_demuxed : out std_logic_vector(19 downto 0);
stat_rx_pcsl_number_0 : out std_logic_vector(4 downto 0);
stat_rx_pcsl_number_1 : out std_logic_vector(4 downto 0);
Expand Down Expand Up @@ -399,12 +478,40 @@ architecture mapping of Caui4GtyIpWrapper is
rx_otn_data_2 : out std_logic_vector(65 downto 0);
rx_otn_data_3 : out std_logic_vector(65 downto 0);
rx_otn_data_4 : out std_logic_vector(65 downto 0);
rx_otn_ena : out std_logic;
rx_otn_lane0 : out std_logic;
rx_otn_vlmarker : out std_logic;
rx_preambleout : out std_logic_vector(55 downto 0);
usr_rx_reset : out std_logic;
gt_rxusrclk2 : out std_logic;
stat_rx_aligned : out std_logic;
stat_rx_aligned_err : out std_logic;
stat_rx_bad_code : out std_logic_vector(2 downto 0);
stat_rx_bad_fcs : out std_logic_vector(2 downto 0);
stat_rx_bad_preamble : out std_logic;
stat_rx_bad_sfd : out std_logic;
stat_rx_bip_err_0 : out std_logic;
stat_rx_bip_err_1 : out std_logic;
stat_rx_bip_err_10 : out std_logic;
stat_rx_bip_err_11 : out std_logic;
stat_rx_bip_err_12 : out std_logic;
stat_rx_bip_err_13 : out std_logic;
stat_rx_bip_err_14 : out std_logic;
stat_rx_bip_err_15 : out std_logic;
stat_rx_bip_err_16 : out std_logic;
stat_rx_bip_err_17 : out std_logic;
stat_rx_bip_err_18 : out std_logic;
stat_rx_bip_err_19 : out std_logic;
stat_rx_bip_err_2 : out std_logic;
stat_rx_bip_err_3 : out std_logic;
stat_rx_bip_err_4 : out std_logic;
stat_rx_bip_err_5 : out std_logic;
stat_rx_bip_err_6 : out std_logic;
stat_rx_bip_err_7 : out std_logic;
stat_rx_bip_err_8 : out std_logic;
stat_rx_bip_err_9 : out std_logic;
stat_rx_block_lock : out std_logic_vector(19 downto 0);
stat_rx_broadcast : out std_logic;
stat_rx_fragment : out std_logic_vector(2 downto 0);
stat_rx_framing_err_0 : out std_logic_vector(1 downto 0);
stat_rx_framing_err_1 : out std_logic_vector(1 downto 0);
Expand All @@ -426,23 +533,74 @@ architecture mapping of Caui4GtyIpWrapper is
stat_rx_framing_err_7 : out std_logic_vector(1 downto 0);
stat_rx_framing_err_8 : out std_logic_vector(1 downto 0);
stat_rx_framing_err_9 : out std_logic_vector(1 downto 0);
stat_rx_framing_err_valid_0 : out std_logic;
stat_rx_framing_err_valid_1 : out std_logic;
stat_rx_framing_err_valid_10 : out std_logic;
stat_rx_framing_err_valid_11 : out std_logic;
stat_rx_framing_err_valid_12 : out std_logic;
stat_rx_framing_err_valid_13 : out std_logic;
stat_rx_framing_err_valid_14 : out std_logic;
stat_rx_framing_err_valid_15 : out std_logic;
stat_rx_framing_err_valid_16 : out std_logic;
stat_rx_framing_err_valid_17 : out std_logic;
stat_rx_framing_err_valid_18 : out std_logic;
stat_rx_framing_err_valid_19 : out std_logic;
stat_rx_framing_err_valid_2 : out std_logic;
stat_rx_framing_err_valid_3 : out std_logic;
stat_rx_framing_err_valid_4 : out std_logic;
stat_rx_framing_err_valid_5 : out std_logic;
stat_rx_framing_err_valid_6 : out std_logic;
stat_rx_framing_err_valid_7 : out std_logic;
stat_rx_framing_err_valid_8 : out std_logic;
stat_rx_framing_err_valid_9 : out std_logic;
stat_rx_got_signal_os : out std_logic;
stat_rx_hi_ber : out std_logic;
stat_rx_inrangeerr : out std_logic;
stat_rx_internal_local_fault : out std_logic;
stat_rx_jabber : out std_logic;
stat_rx_local_fault : out std_logic;
stat_rx_mf_err : out std_logic_vector(19 downto 0);
stat_rx_mf_len_err : out std_logic_vector(19 downto 0);
stat_rx_mf_repeat_err : out std_logic_vector(19 downto 0);
stat_rx_misaligned : out std_logic;
stat_rx_multicast : out std_logic;
stat_rx_oversize : out std_logic;
stat_rx_packet_1024_1518_bytes : out std_logic;
stat_rx_packet_128_255_bytes : out std_logic;
stat_rx_packet_1519_1522_bytes : out std_logic;
stat_rx_packet_1523_1548_bytes : out std_logic;
stat_rx_packet_1549_2047_bytes : out std_logic;
stat_rx_packet_2048_4095_bytes : out std_logic;
stat_rx_packet_256_511_bytes : out std_logic;
stat_rx_packet_4096_8191_bytes : out std_logic;
stat_rx_packet_512_1023_bytes : out std_logic;
stat_rx_packet_64_bytes : out std_logic;
stat_rx_packet_65_127_bytes : out std_logic;
stat_rx_packet_8192_9215_bytes : out std_logic;
stat_rx_packet_bad_fcs : out std_logic;
stat_rx_packet_large : out std_logic;
stat_rx_packet_small : out std_logic_vector(2 downto 0);
ctl_rx_enable : in std_logic;
ctl_rx_force_resync : in std_logic;
ctl_rx_test_pattern : in std_logic;
core_rx_reset : in std_logic;
rx_clk : in std_logic;
stat_rx_received_local_fault : out std_logic;
stat_rx_remote_fault : out std_logic;
stat_rx_status : out std_logic;
stat_rx_stomped_fcs : out std_logic_vector(2 downto 0);
stat_rx_synced : out std_logic_vector(19 downto 0);
stat_rx_synced_err : out std_logic_vector(19 downto 0);
stat_rx_test_pattern_mismatch : out std_logic_vector(2 downto 0);
stat_rx_toolong : out std_logic;
stat_rx_total_bytes : out std_logic_vector(6 downto 0);
stat_rx_total_good_bytes : out std_logic_vector(13 downto 0);
stat_rx_total_good_packets : out std_logic;
stat_rx_total_packets : out std_logic_vector(2 downto 0);
stat_rx_truncated : out std_logic;
stat_rx_undersize : out std_logic_vector(2 downto 0);
stat_rx_unicast : out std_logic;
stat_rx_vlan : out std_logic;
stat_rx_pcsl_demuxed : out std_logic_vector(19 downto 0);
stat_rx_pcsl_number_0 : out std_logic_vector(4 downto 0);
stat_rx_pcsl_number_1 : out std_logic_vector(4 downto 0);
Expand Down
4 changes: 2 additions & 2 deletions ethernet/Caui4Core/gtyUltraScale+/ruckus.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
source -quiet $::env(RUCKUS_DIR)/vivado_proc.tcl

# Load Source Code
if { $::env(VIVADO_VERSION) >= 2019.1 } {
if { $::env(VIVADO_VERSION) >= 2021.1 } {

loadSource -lib surf -dir "$::DIR_PATH/rtl"

Expand All @@ -13,5 +13,5 @@ if { $::env(VIVADO_VERSION) >= 2019.1 } {
# loadIpCore -path "$::DIR_PATH/ip/Caui4GtyIpCore161MHz.xci"

} else {
puts "\n\nWARNING: $::DIR_PATH requires Vivado 2019.1 (or later)\n\n"
puts "\n\nWARNING: $::DIR_PATH requires Vivado 2021.1 (or later)\n\n"
}
2 changes: 0 additions & 2 deletions xilinx/general/microblaze/bd/.gitignore

This file was deleted.

Loading

0 comments on commit 54a146e

Please sign in to comment.