-
Notifications
You must be signed in to change notification settings - Fork 55
Commit
This commit does not belong to any branch on this repository, and may belong to a fork outside of the repository.
Merge pull request #211 from slaclab/pre-release
v1.8.0 release candidate
- Loading branch information
Showing
168 changed files
with
4,842 additions
and
1,351 deletions.
There are no files selected for viewing
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,8 @@ | ||
# Load RUCKUS library | ||
source -quiet $::env(RUCKUS_DIR)/vivado_proc.tcl | ||
|
||
# Load Source Code | ||
loadSource -dir "$::DIR_PATH/rtl" | ||
|
||
# Load Simulation | ||
loadSource -sim_only -dir "$::DIR_PATH/tb" |
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,8 @@ | ||
# Load RUCKUS library | ||
source -quiet $::env(RUCKUS_DIR)/vivado_proc.tcl | ||
|
||
# Load Source Code | ||
loadSource -dir "$::DIR_PATH/rtl" | ||
|
||
# Load Simulation | ||
loadSource -sim_only -dir "$::DIR_PATH/tb" |
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,8 @@ | ||
# Load RUCKUS library | ||
source -quiet $::env(RUCKUS_DIR)/vivado_proc.tcl | ||
|
||
# Load Source Code | ||
loadSource -dir "$::DIR_PATH/rtl" | ||
|
||
# Load Simulation | ||
loadSource -sim_only -dir "$::DIR_PATH/tb" |
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,8 @@ | ||
# Load RUCKUS library | ||
source -quiet $::env(RUCKUS_DIR)/vivado_proc.tcl | ||
|
||
# Load Source Code | ||
loadSource -dir "$::DIR_PATH/rtl" | ||
|
||
# # Load Simulation | ||
# loadSource -sim_only -dir "$::DIR_PATH/tb" |
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,8 @@ | ||
# Load RUCKUS library | ||
source -quiet $::env(RUCKUS_DIR)/vivado_proc.tcl | ||
|
||
# Load Source Code | ||
loadSource -dir "$::DIR_PATH/rtl" | ||
|
||
# Load Simulation | ||
loadSource -sim_only -dir "$::DIR_PATH/tb" |
File renamed without changes.
File renamed without changes.
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,89 @@ | ||
------------------------------------------------------------------------------- | ||
-- File : AxiMicronMt28ewCore.vhd | ||
-- Company : SLAC National Accelerator Laboratory | ||
-- Created : 2018-04-10 | ||
-- Last update: 2018-04-11 | ||
------------------------------------------------------------------------------- | ||
-- Description: AXI-Lite interface to Micron MT28EW FLASH IC | ||
------------------------------------------------------------------------------- | ||
-- This file is part of 'SLAC Firmware Standard Library'. | ||
-- It is subject to the license terms in the LICENSE.txt file found in the | ||
-- top-level directory of this distribution and at: | ||
-- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html. | ||
-- No part of 'SLAC Firmware Standard Library', including this file, | ||
-- may be copied, modified, propagated, or distributed except according to | ||
-- the terms contained in the LICENSE.txt file. | ||
------------------------------------------------------------------------------- | ||
|
||
library ieee; | ||
use ieee.std_logic_1164.all; | ||
|
||
use work.StdRtlPkg.all; | ||
use work.AxiLitePkg.all; | ||
use work.AxiMicronMt28ewPkg.all; | ||
|
||
library unisim; | ||
use unisim.vcomponents.all; | ||
|
||
entity AxiMicronMt28ewCore is | ||
generic ( | ||
TPD_G : time := 1 ns; | ||
MEM_ADDR_MASK_G : slv(31 downto 0) := x"00000000"; | ||
AXI_CLK_FREQ_G : real := 200.0E+6); -- units of Hz | ||
port ( | ||
-- FLASH Interface | ||
flashInOut : inout AxiMicronMt28ewInOutType; | ||
flashOut : out AxiMicronMt28ewOutType; | ||
-- AXI-Lite Register Interface | ||
axiReadMaster : in AxiLiteReadMasterType; | ||
axiReadSlave : out AxiLiteReadSlaveType; | ||
axiWriteMaster : in AxiLiteWriteMasterType; | ||
axiWriteSlave : out AxiLiteWriteSlaveType; | ||
-- Clocks and Resets | ||
axiClk : in sl; | ||
axiRst : in sl); | ||
end AxiMicronMt28ewCore; | ||
|
||
architecture mapping of AxiMicronMt28ewCore is | ||
|
||
signal flashDin : slv(15 downto 0); | ||
signal flashDout : slv(15 downto 0); | ||
signal flashTri : sl; | ||
|
||
begin | ||
|
||
GEN_IOBUF : | ||
for i in 15 downto 0 generate | ||
IOBUF_inst : IOBUF | ||
port map ( | ||
O => flashDout(i), -- Buffer output | ||
IO => flashInOut.dq(i), -- Buffer inout port (connect directly to top-level port) | ||
I => flashDin(i), -- Buffer input | ||
T => flashTri); -- 3-state enable input, high=input, low=output | ||
end generate GEN_IOBUF; | ||
|
||
U_CTRL : entity work.AxiMicronMt28ewReg | ||
generic map ( | ||
TPD_G => TPD_G, | ||
MEM_ADDR_MASK_G => MEM_ADDR_MASK_G, | ||
AXI_CLK_FREQ_G => AXI_CLK_FREQ_G) | ||
port map ( | ||
-- FLASH Interface | ||
flashAddr => flashOut.addr, | ||
flashRstL => flashOut.rstL, | ||
flashCeL => flashOut.ceL, | ||
flashOeL => flashOut.oeL, | ||
flashWeL => flashOut.weL, | ||
flashDin => flashDin, | ||
flashDout => flashDout, | ||
flashTri => flashTri, | ||
-- AXI-Lite Register Interface | ||
axiReadMaster => axiReadMaster, | ||
axiReadSlave => axiReadSlave, | ||
axiWriteMaster => axiWriteMaster, | ||
axiWriteSlave => axiWriteSlave, | ||
-- Clocks and Resets | ||
axiClk => axiClk, | ||
axiRst => axiRst); | ||
|
||
end mapping; |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,41 @@ | ||
------------------------------------------------------------------------------- | ||
-- File : AxiMicronMt28ewPkg.vhd | ||
-- Company : SLAC National Accelerator Laboratory | ||
-- Created : 2018-04-10 | ||
-- Last update: 2018-04-10 | ||
------------------------------------------------------------------------------- | ||
-- Description: AxiMicronMt28ew Package File | ||
------------------------------------------------------------------------------- | ||
-- This file is part of 'SLAC Firmware Standard Library'. | ||
-- It is subject to the license terms in the LICENSE.txt file found in the | ||
-- top-level directory of this distribution and at: | ||
-- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html. | ||
-- No part of 'SLAC Firmware Standard Library', including this file, | ||
-- may be copied, modified, propagated, or distributed except according to | ||
-- the terms contained in the LICENSE.txt file. | ||
------------------------------------------------------------------------------- | ||
|
||
library ieee; | ||
use ieee.std_logic_1164.all; | ||
|
||
use work.StdRtlPkg.all; | ||
|
||
package AxiMicronMt28ewPkg is | ||
|
||
type AxiMicronMt28ewInOutType is record | ||
dq : slv(15 downto 0); | ||
end record; | ||
type AxiMicronMt28ewInOutArray is array (natural range <>) of AxiMicronMt28ewInOutType; | ||
type AxiMicronMt28ewInOutVectorArray is array (integer range<>, integer range<>)of AxiMicronMt28ewInOutType; | ||
|
||
type AxiMicronMt28ewOutType is record | ||
ceL : sl; | ||
oeL : sl; | ||
weL : sl; | ||
addr : slv(25 downto 0); | ||
rstL : sl; | ||
end record; | ||
type AxiMicronMt28ewOutArray is array (natural range <>) of AxiMicronMt28ewOutType; | ||
type AxiMicronMt28ewOutVectorArray is array (integer range<>, integer range<>)of AxiMicronMt28ewOutType; | ||
|
||
end package; |
Oops, something went wrong.