Skip to content

Commit

Permalink
Merge pull request #228 from slaclab/pre-release
Browse files Browse the repository at this point in the history
v1.8.4 release candidate
  • Loading branch information
ruck314 authored May 17, 2018
2 parents 0fbaf30 + 1e83053 commit 916b0e9
Show file tree
Hide file tree
Showing 25 changed files with 822 additions and 1,685 deletions.
6 changes: 5 additions & 1 deletion axi/axi-stream/rtl/AxiStreamFifoV2.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -82,7 +82,8 @@ entity AxiStreamFifoV2 is

-- FIFO status & config , synchronous to sAxisClk, be carefull when using with
-- output pipeline stages
fifoPauseThresh : in slv(FIFO_ADDR_WIDTH_G-1 downto 0) := (others => '1');
fifoPauseThresh : in slv(FIFO_ADDR_WIDTH_G-1 downto 0) := (others => '1');
fifoWrCnt : out slv(FIFO_ADDR_WIDTH_G-1 downto 0);

-- Master Port
mAxisClk : in sl;
Expand Down Expand Up @@ -217,6 +218,9 @@ begin

-- Is ready enabled?
fifoReady <= (not fifoAFull) when SLAVE_READY_EN_G else '1';

-- Output a copy of FIFO WR count incase application needs more than one threshold
fifoWrCnt <= fifoWrCount;

-- Map bits
fifoDin <= toSlv(fifoWriteMaster, FIFO_CONFIG_C);
Expand Down
Loading

0 comments on commit 916b0e9

Please sign in to comment.