Skip to content

Commit

Permalink
Update vlog95 test list for tests containing incomplete for loops.
Browse files Browse the repository at this point in the history
The for loop initialisation statement, termination condition, and step
statement were only made optional in IEEE 1800-2012. Commit 0695c1f
enforced this. So we need to compile with -g2012. The vlog95 code
generator doesn't currently translate this, so the tests will fail
when compiling the translated code.
  • Loading branch information
martinwhitaker committed Sep 7, 2024
1 parent 2ab57a2 commit 25a84d5
Showing 1 changed file with 5 additions and 2 deletions.
7 changes: 5 additions & 2 deletions ivtest/regress-vlog95.list
Original file line number Diff line number Diff line change
Expand Up @@ -777,6 +777,11 @@ dffsynth7 CE,-S ivltests
dffsynth11 CE,-S ivltests
sqrt32synth CE,-S ivltests

# The translator doesn't currently support incomplete for statements and
# doesn't yet check for this.
br_gh801 TE,-g2012,-pallowsigned=1 ivltests
br_gh801b TE,-g2012,-pallowsigned=1 ivltests

# The converter generates a complex expression for $strobe and Icarus does not
# currently support this. The translation is correct.
pr1830834 EF ivltests
Expand Down Expand Up @@ -853,8 +858,6 @@ br_gh386d normal,-g2009,-pallowsigned=1 ivltests
br_gh477 normal,-g2009,-pallowsigned=1 ivltests
br_gh540 normal,-g2009,-pallowsigned=1 ivltests
br_gh793 normal,-pallowsigned=1 ivltests
br_gh801 normal,-g2009,-pallowsigned=1 ivltests
br_gh801b normal,-g2009,-pallowsigned=1 ivltests
ca_mult normal,-pallowsigned=1 ivltests gold=ca_mult.gold
cast_int normal,-pallowsigned=1 ivltests
cfunc_assign_op_vec normal,-g2009,-pallowsigned=1 ivltests
Expand Down

0 comments on commit 25a84d5

Please sign in to comment.