Skip to content

Commit

Permalink
techmap: add dynamic cell type test
Browse files Browse the repository at this point in the history
  • Loading branch information
widlarizer committed May 2, 2024
1 parent f3f0d9b commit 99a3076
Showing 1 changed file with 33 additions and 0 deletions.
33 changes: 33 additions & 0 deletions tests/techmap/techmap_chtype.ys
Original file line number Diff line number Diff line change
@@ -0,0 +1,33 @@
read_verilog <<EOT

(* techmap_celltype="foo" *)
module _80_lcu_primitive(P, G, CI, CO);
parameter WIDTH = 10;

(* force_downto *)
input wire [WIDTH-1:0] P;
(* force_downto *)
input wire [WIDTH-1:0] G;
input wire CI;
(* force_downto *)
output wire [WIDTH-1:0] CO;

(* techmap_chtype=$sformatf("LCU_%0d", WIDTH) *)
_TECHMAP_PLACEHOLDER_ #(.WIDTH(WIDTH)) _TECHMAP_REPLACE_(.P(P), .G(G), .CI(CI), .CO(CO));
endmodule

EOT
design -stash techmap

read_verilog <<EOT
module top(input [3:0] pi, input [3:0] gi, input ci, output [3:0] co);
foo suuuub(pi, gi, ci, co);
endmodule
EOT

hierarchy -auto-top
proc
opt
techmap -map %techmap

dump

0 comments on commit 99a3076

Please sign in to comment.